A broadcast channel to announce new posts in my blog: https://publish.obsidian.md/zvord/Welcome+to+Verification+Haven
Verification Haven
Welcome to Verification Haven - Verification Haven - Obsidian Publish
This is what you get when an academy-bitten brain thinks about design verification. Subscribe to my Telegram channel to get notifications for new posts. 30-12-2024 It seems that I find time to write …
A short and light reading about one particular bad UVM agent design practice and how to avoid it. May be interesting for not very experienced engineers and those only making their first steps in UVM.
https://publish.obsidian.md/zvord/Blog/Splitting+the+responsibility+pie
https://publish.obsidian.md/zvord/Blog/Splitting+the+responsibility+pie
Verification Haven
Splitting the responsibility pie - Verification Haven - Obsidian Publish
There’s never time to do it right, but always time to do it twice. puppy-driver.JPG How to (not) mess up your driver When learning a new technology, especially on your own, it’s very easy to become c…
👍5
The frequency of my posts is only slightly higher than the frequency of snowfalls in Barcelona. A half-joking experiment on what happens if you put an AI into the technical interview.
Verification Haven
LLM versus you at a technical interview - Verification Haven - Obsidian Publish
This year has seen a lot of news, achievements, failures, and hysterics on the topic of AI. It passes exams, throws people out of jobs, and wins a Nobel Prize (not really). I think Christmas holidays…
👍1
How many lines does one need to break VCS? Not much.
Output:
module tb;
logic a = 0;
logic b = 0;
logic bb = 0;
logic [1:0] c;
function automatic logic foo(logic x, logic y);
return x && y;
endfunction
always_comb begin
c = 0;
unique0 if (a) begin
c = 1;
end else if (foo(b, bb)) begin
c = 2;
end else begin
c = 3;
end
end
initial begin
#1;
a <= 1;
b <= 0;
bb <= 0;
#1;
a <= 0;
b <= 1;
bb <= 1;
#1;
end
initial begin
$monitor("%t : %b %b %b %0d", $time(), a, b, bb, c);
end
endmodule
Output:
0 : 0 0 0 0
1 : 1 0 0 1
2 : 0 1 1 0
🔥1😁1
Welcome to the latest entry in my blog: Unhinged assignment. This post takes you on a journey that begins with the fundamentals of non-blocking assignments and gradually immerses you in the horrific fascinating world of indeterminism and delta-cycle shenanigans.
Verification Haven
Unhinged assignment - Verification Haven - Obsidian Publish
All models are wrong, but some are useful. Introduction Every so often, I encounter concepts that seem obvious to me, but that puzzle other people. One of such concepts is non-blocking assignment in …
🔥1