EDA Expert
281 subscribers
2.91K photos
14 videos
71 files
4.48K links
Новости проектирования электроники
Download Telegram
Компания #Aldec выпустила HES-MPF500-M2S150 Development Kit для отладки #FPGA встраиваемых систем на базе семейств #PolarFire и #SmartFusion2 от #Microchip. https://aldec.com/en/company/news/2019-11-26/427--aldecs-latest-embedded-development-platform-is-first-to-feature-largest-polarfire-and-smartfusion2-fpgas-on-a-single-board #HES #ASIC #HDL
Компания Aldec сообщила, что ее последняя версия ее продукта ALINT-PRO обеспечивает статическую верификацию проектов на базе RISC-V. https://www.aldec.com/en/company/news/2020-07-22/445#fpga #asic
Компания #Aldec представила HES-DVM - решение для ускорения моделирования и верификации проектов для Microchip Polarfire, SmartFusion2 и RTSX/RTAX FPGA на базе своей отладочной платы Aldec HES-MPF500-M2S150. https://www.aldec.com/en/company/news/2020-11-03/449#HDL #ASIC #FPGA #simulation #acceleration
Компания Aldec выпустила новую версию Riviera-PRO 2020.10, которая включает методологию верификации OSVVM 2020.08, а также ряд улучшений моделирования SystemVerilog и VHDL-2019. https://www.aldec.com/en/company/news/2020-12-08/451#SystemVerilog #VHDL #VHDL2019 #FPGA #ASIC #OSVVM #RivieraPRO #aldec #HDL
Forwarded from edaexperttwitter
Компания Aldec сообщила, что новая версия ее продукта Active-HDL теперь поддерживает ряд функций VHDL-2019 (IEEE 1076-2019). https://t.co/QeQIPIlkbn
#Aldec #HDL #FPGA #ASIC #ActiveHDL #vhdl #VHDL2019 https://t.co/GjOJTeVY8p
Forwarded from edaexperttwitter
Новое видео на канале MATLAB: Generate Floating-Point HDL for FPGA and ASIC Hardware https://t.co/6goa4ti6yV @matlab #xilinx #RFSoC #fpga #simulink #matlab #asic https://t.co/cGsHVhlmgz
Forwarded from edaexperttwitter
Компания Aldec сообщила, что добавила более 60 новых HDL правил в плагин DO-254 к продукту ALINT-PRO. Airborne System Design Assurance: Aldec Adds 60+ New HDL Rules to ALINT-PRO’s DO-254 Plug-In. https://t.co/PnUwrKBqrx
#HDL #FPGA #ASIC #ALINTPRO #DO254 https://t.co/YYKXgTibL9
Forwarded from edaexperttwitter
Компания Aldec сообщила, что добавила более 60 новых HDL правил в плагин DO-254 к продукту ALINT-PRO. Airborne System Design Assurance: Aldec Adds 60+ New HDL Rules to ALINT-PRO’s DO-254 Plug-In. https://t.co/PnUwrKBqrx
#HDL #FPGA #ASIC #ALINTPRO #DO254 https://t.co/YYKXgTibL9
Forwarded from edaexperttwitter
Компания Aldec выпустила продукт HES-DVM Proto Cloud Edition (CE), предоставляющий через Amazon Web Service (AWS) облачный инструментарий FPGA прототипирования SoC и ASIC устройств. https://t.co/tw5xF4TunN #aldec #hes #hesdvm #fpga #soc #asic https://t.co/UFQhSoXsHM
Forwarded from edaexperttwitter
Интересное видео на канале Zero To ASIC Course: Livestream with Thomas Parry: analogue design with the open source ASIC tools. https://t.co/HtNp20xmXN #asic #ic #layout https://t.co/ZZ1pmyXVyK
Forwarded from edaexperttwitter
Компания Aldec сообщила о том, что добавила автоматическую функцию UVM Generator в свой продукт Riviera-PRO. https://t.co/brI4foitxB #HDL #FPGA #ASIC #SoC #UVM #RivieraPRO https://t.co/IDOrF4X0a0
Forwarded from edaexperttwitter
Интересная статья: A New Dimension Of Complexity For IC Design. Static timing analysis becomes more difficult in 3D. https://t.co/DsCPnMCqKz #cadence #ASIC #pcb #pcbdesign https://t.co/z0W80jUPKF
Компания Aldec сообщила, что ее продукт Riviera-PRO, начиная с версии v2022.04, поддерживает Open Component Portability Infrastructure (OpenCPI).

https://www.aldec.com/en/company/news/2022-06-01/467

#HDL #FPGA #ASIC #SoC #UVM #RivieraPRO #OpenCPI
Новое видео на канале MATLAB: FPGA, ASIC, and SoC Development with MATLAB and Simulink

Watch an overview of ways your projects can benefit by connecting MATLAB® and Simulink® to FPGA, ASIC, and SoC development. Learn about the variety of ways that customers can improve their productivity or even target FPGA hardware for the first time.

https://youtu.be/IMEmmuvPaA0

#MATLAB #Simulink #FPGA #ASIC #SoC
Новое видео на канале MATLAB: How to Deploy Frame-Based Models to FPGA/ASIC Using HDL Coder

https://youtu.be/r3uLsF4TAkY

#MATLAB #Simulink #FPGA #ASIC #SoC
Новое видео на канале MATLAB: HDL Cosimulation with AMD Xilinx Vivado Simulator

https://youtu.be/EkpU10m-gW4

#MATLAB #Simulink #FPGA #ASIC #AMD #xilinx #vivafo
Компания Aldec сообщила о выходе новой версии продукта Active-HDL 16.

https://www.aldec.com/en/downloads

#HDL #FPGA #ASIC #SoC #UVM #RivieraPRO #OpenCPI
👍1