*
بلاگ جالب Google AI در رابطه با مقاله ها و تلاش های اخیر این گروه برای استفاده از یادگیری ماشین جهت طراحی معماری کامپیوتر (شتاب دهنده های سخت افزاری ) برای آموزش و استنتاج هر چه بهتر الگوریتم های یادگیری ماشین و شبکه های عصبی :
https://ai.googleblog.com/2021/02/machine-learning-for-computer.html?m=1
@Taksuntec
بلاگ جالب Google AI در رابطه با مقاله ها و تلاش های اخیر این گروه برای استفاده از یادگیری ماشین جهت طراحی معماری کامپیوتر (شتاب دهنده های سخت افزاری ) برای آموزش و استنتاج هر چه بهتر الگوریتم های یادگیری ماشین و شبکه های عصبی :
https://ai.googleblog.com/2021/02/machine-learning-for-computer.html?m=1
@Taksuntec
اجرای مثال VART از میان مثال های VITIS-AI بر روی zcu104 در حالت GUI:
The Vitis -AI (#vitisai) GitHub repository contains command line examples for running a neural network on #Xilinx #FPGA . This video shows an example of running VART to decode traffic light camera video in the #Vitis GUI mode on board #ZCU104 .
لینک ویدیو در یوتوب :
https://www.youtube.com/watch?v=Ye1Ht5lJYnY&feature=youtu.be
@Taksuntec
The Vitis -AI (#vitisai) GitHub repository contains command line examples for running a neural network on #Xilinx #FPGA . This video shows an example of running VART to decode traffic light camera video in the #Vitis GUI mode on board #ZCU104 .
لینک ویدیو در یوتوب :
https://www.youtube.com/watch?v=Ye1Ht5lJYnY&feature=youtu.be
@Taksuntec
YouTube
Run Vitis-AI VART example on ZCU104 in the Vitis GUI mode
The #Vitis-AI GitHub repository contains command line examples for running a neural network on #Xilinx #FPGA. This video shows an example of running #VART t...
*
آموزش ساخت AXI-Stream برای طراحی های RTL :
How to create AXI-Stream Interface for a RTL Design
https://crackfpga.com/create-axi-stream-interface-for-a-rtl-design/
@Taksuntec
آموزش ساخت AXI-Stream برای طراحی های RTL :
How to create AXI-Stream Interface for a RTL Design
https://crackfpga.com/create-axi-stream-interface-for-a-rtl-design/
@Taksuntec
*
آموزش اتصال SSD به زینک :
Connecting an SSD to Zynq MPSOC.
https://www.controlpaths.com/2021/02/22/connecting-an-ssd-to-zynq-mpsoc/
@Taksuntec
آموزش اتصال SSD به زینک :
Connecting an SSD to Zynq MPSOC.
https://www.controlpaths.com/2021/02/22/connecting-an-ssd-to-zynq-mpsoc/
@Taksuntec
آموزش نحوه استفاده از Vaitrace در VITIS AI برای پروفایل کردن شبکه های عصبی :
#Vitis-AI has "vaitrace" program for profiling neural network. This video shows the necessary steps for profiling. This example running on #ZCU104 with using #Vitis 2020.2 and CentOs 7.
https://www.youtube.com/watch?v=vGu4aaXh6KA
@Taksuntec
#Vitis-AI has "vaitrace" program for profiling neural network. This video shows the necessary steps for profiling. This example running on #ZCU104 with using #Vitis 2020.2 and CentOs 7.
https://www.youtube.com/watch?v=vGu4aaXh6KA
@Taksuntec
YouTube
An example of neural network profiling from Vitis-AI on ZCU104
#Vitis-AI has "vaitrace" program for profiling neural network. This video shows the necessary steps for profiling. This example running on #ZCU104 with using #Vitis 2020.2 and CentOs 7.
Timeline:
00:00 Open terminal, connect to ZCU104
00:38 Run example without…
Timeline:
00:00 Open terminal, connect to ZCU104
00:38 Run example without…
Forwarded from zagros electronic
فروشگاه زاگرس الکترونیک جهت تکمیل کادر خود در استان تهران از افراد واجد شرایط زیر دعوت به همکاری مینماید.
کارشناس فروش وظیفه ترکیب دانش فنی برق با مهارت های فروش را دارد؛ به عبارت دیگر هم فروشندهای است که دانش برق را درک میکند و آن را اعمال میکند و هم مهندسی است که میداند چگونه محصولات خود را بفروشد؛ نه فقط فروش محصولات و خدمات، بلکه مشاوره و پشتیبانی آنها را نیز ارائه می دهد. شرح وظایف کارشناس مهندسی فروش به شرح زیر می باشد:
· شناسایی حوزه های اصلی فروش شرکت
· برقراری ارتباط اولیه با مشتریان
· نیازسنجی پروژه های مشتری و نیز تلاش جهت بالفعل نمودن مشتری بالقوه
· بررسی درخواست مشتری
· مدیریت، درک و تفسیر نیازها و خواسته های مشتری
· ارائه مشاوره فنی و مالی در راستای درخواست مشتری به منظور بهینه کردن سفارش مشتری با در نظر گرفتن منافع طرفین
· کمک در طراحی محصولات سفارشی برای مشتری با توجه به نیازهای خاص ایشان
· ارائه پیشنهادات مربوط به نصب و راه اندازی، خدمات پس از فروش و تعمیرات و نگهداری
· ارائه پیشنهادات فنی و مالی به مشتری
· پیگیری و رفع ابهامات احتمالی مشتری
· دریافت سفارش نهایی و مورد تایید از مشتری
کارشناس فروش وظیفه ترکیب دانش فنی برق با مهارت های فروش را دارد؛ به عبارت دیگر هم فروشندهای است که دانش برق را درک میکند و آن را اعمال میکند و هم مهندسی است که میداند چگونه محصولات خود را بفروشد؛ نه فقط فروش محصولات و خدمات، بلکه مشاوره و پشتیبانی آنها را نیز ارائه می دهد. شرح وظایف کارشناس مهندسی فروش به شرح زیر می باشد:
· شناسایی حوزه های اصلی فروش شرکت
· برقراری ارتباط اولیه با مشتریان
· نیازسنجی پروژه های مشتری و نیز تلاش جهت بالفعل نمودن مشتری بالقوه
· بررسی درخواست مشتری
· مدیریت، درک و تفسیر نیازها و خواسته های مشتری
· ارائه مشاوره فنی و مالی در راستای درخواست مشتری به منظور بهینه کردن سفارش مشتری با در نظر گرفتن منافع طرفین
· کمک در طراحی محصولات سفارشی برای مشتری با توجه به نیازهای خاص ایشان
· ارائه پیشنهادات مربوط به نصب و راه اندازی، خدمات پس از فروش و تعمیرات و نگهداری
· ارائه پیشنهادات فنی و مالی به مشتری
· پیگیری و رفع ابهامات احتمالی مشتری
· دریافت سفارش نهایی و مورد تایید از مشتری
چند روز پیش موردی رو دیدیم که ارزش طراحی IC رو در ایران داشت. سالهای سال ممکنه چنین فرصتی پیش نیاد که انقدر تیراژ بالا باشه که بصرفه داخل طراحی کرد.
پیگیری کردم از بزرگان ساخت آیسی در ایران. چند تا نکته دست گیرم شد که خدمت دوست داران و طرف داران ساخت آیسی عرض میکنم:
۱. تکنولوژی ۷ و ۵ نانومتری متفاوته با تکنولوژی های پایین و ما این تکنلوژی رو نداریم. یعنی نمیتونیم طراحی کنیم.(3DFET و FinFET)
۲. اگه مشکل طراحی رو بتونیم حل کنیم یکی دوتا شرکت بیشتر نیستن که میتونن ۷ نانومتر و ... رو بزنن که نتنها ایران بلکه چین رو هم تحریم کردن.و نمیشه تولیدش کرد
۳. هزینه تولید بسیار بالاست در حد ۲ تا ۶ میلیون دلار که واقعا باید چندین میلیون تولید بشه که بصرفه
۴. من اگه جای مسئولین بودم هر جور شده هزینشو جور میکردم و کارای سیاسی رو انجام میدادم که تولید کنن. چون این پروژه دروازه ایران میتونست باشه به بزار بزرگ ریز تراشه ها و پردازنده ها در دنیا.
@Taksuntec
پیگیری کردم از بزرگان ساخت آیسی در ایران. چند تا نکته دست گیرم شد که خدمت دوست داران و طرف داران ساخت آیسی عرض میکنم:
۱. تکنولوژی ۷ و ۵ نانومتری متفاوته با تکنولوژی های پایین و ما این تکنلوژی رو نداریم. یعنی نمیتونیم طراحی کنیم.(3DFET و FinFET)
۲. اگه مشکل طراحی رو بتونیم حل کنیم یکی دوتا شرکت بیشتر نیستن که میتونن ۷ نانومتر و ... رو بزنن که نتنها ایران بلکه چین رو هم تحریم کردن.و نمیشه تولیدش کرد
۳. هزینه تولید بسیار بالاست در حد ۲ تا ۶ میلیون دلار که واقعا باید چندین میلیون تولید بشه که بصرفه
۴. من اگه جای مسئولین بودم هر جور شده هزینشو جور میکردم و کارای سیاسی رو انجام میدادم که تولید کنن. چون این پروژه دروازه ایران میتونست باشه به بزار بزرگ ریز تراشه ها و پردازنده ها در دنیا.
@Taksuntec
ثبت نام ورکشاپ های مجازی SYNOPSIS در حوزه طراحی دیجیتال: (رایگان)
تنها برای ثبت نام به ایمیلی غیر از ایمیل های معمولی نیاز است مثلا ایمیل دانشگاهی.
Agenda-At-A-Glance:
Track #1: Design Compiler NXT and IC Compiler II
Design Compiler NXT Session 1 | Monday, March 8 | 9:30 -11:00 a.m.
Highlights key PPA enabling technologies introduced in latest releases. Introduces the IC Compiler II link and NDM library support that is essential to supporting complex and advanced node designs.
Design Compiler NXT Session 2 | Tuesday, March 9 | 9:30 - 11:00 a.m.
Provides a deep dive into the workings of the IC Compiler II link and offers practical tips on congestion debug and dirty data handling.
IC Compiler II Session 1 | Monday, March 15 | 9:30 - 11:00 a.m.
Introduces the Reference Methodology (RM) and low power flows. Offers a practical guide to improving flow runtimes.
IC Compiler II Session 2 | Tuesday March 16 | 9:30 - 11:00 a.m.
Covers the latest technology improvements in IC Compiler II including early data handling, global route everywhere, post-route design and eco fusion technologies.
—————————————————
Track #2: Fusion Compiler
Fusion Compiler Session 1 | Wednesday, March 10 | 4:00 - 6:00 p.m.
Introduces the Reference Methodology (RM) and low power flows. Highlights new synthesis advancements including clock gate latency estimation and shift-left Design for Test (DFT).
Fusion Compiler Session 2 | Thursday, March 11 | 9:30 - 11:00 a.m.
Covers the latest technology improvements in Fusion Compiler including early data handling, global route everywhere, post-route design and eco fusion technologies. Offers a practical guide to improving flow runtimes.
RTL Architect & Machine Learning | Wednesday, March 17 | 4:00 - 5:30 p.m.
Introduces RTL Architect, a complete RTL analysis and optimization solution that enables early RTL exploration to achieve predictable RTL closure and improved power, performance, and area metrics in less development time. The second half of this session showcases machine learning technologies spanning the full flow.
Fusion Compiler Session 3 | Thursday March 18 | 9:30 - 11:00 a.m.
Offers a practical guide to analyze and address quality of results and runtime challenges. Focuses on synthesis technologies, useful commands and debug methodologies to improve timing, area, power, congestion and time to results.
——————————————————-
Track #3: RTL to GDSII Flows & Debug
Advanced Nodes & RedHawk Analysis Fusion | Monday, March 22 | 9:30 - 11:00 a.m.
Showcases 6-nm and 5-nm advanced node support in place and route. The second half of this session covers the Red Hawk Fusion flow for power integrity.
Hierarchical Design | Tuesday, March 23 | 9:30 - 11:00 a.m.
Highlights enabling technologies for top-level design planning and implementation including freeform macro placement, floorplanning for advanced nodes, clock trunk planning and hierarchical modeling.
Multivoltage/Power Analysis | Wednesday, March 24 | 2:00 - 4:30 p.m.
Offers a practical guide to debug multi-voltage/UPF and power analysis/activity annotation issues across the full flow. Covering Design Compiler NXT and Fusion Compiler.
https://www.synopsys.com/implementation-and-signoff/resources/events/synopsys-2021-eu-workshop-series.html
@Taksuntec
تنها برای ثبت نام به ایمیلی غیر از ایمیل های معمولی نیاز است مثلا ایمیل دانشگاهی.
Agenda-At-A-Glance:
Track #1: Design Compiler NXT and IC Compiler II
Design Compiler NXT Session 1 | Monday, March 8 | 9:30 -11:00 a.m.
Highlights key PPA enabling technologies introduced in latest releases. Introduces the IC Compiler II link and NDM library support that is essential to supporting complex and advanced node designs.
Design Compiler NXT Session 2 | Tuesday, March 9 | 9:30 - 11:00 a.m.
Provides a deep dive into the workings of the IC Compiler II link and offers practical tips on congestion debug and dirty data handling.
IC Compiler II Session 1 | Monday, March 15 | 9:30 - 11:00 a.m.
Introduces the Reference Methodology (RM) and low power flows. Offers a practical guide to improving flow runtimes.
IC Compiler II Session 2 | Tuesday March 16 | 9:30 - 11:00 a.m.
Covers the latest technology improvements in IC Compiler II including early data handling, global route everywhere, post-route design and eco fusion technologies.
—————————————————
Track #2: Fusion Compiler
Fusion Compiler Session 1 | Wednesday, March 10 | 4:00 - 6:00 p.m.
Introduces the Reference Methodology (RM) and low power flows. Highlights new synthesis advancements including clock gate latency estimation and shift-left Design for Test (DFT).
Fusion Compiler Session 2 | Thursday, March 11 | 9:30 - 11:00 a.m.
Covers the latest technology improvements in Fusion Compiler including early data handling, global route everywhere, post-route design and eco fusion technologies. Offers a practical guide to improving flow runtimes.
RTL Architect & Machine Learning | Wednesday, March 17 | 4:00 - 5:30 p.m.
Introduces RTL Architect, a complete RTL analysis and optimization solution that enables early RTL exploration to achieve predictable RTL closure and improved power, performance, and area metrics in less development time. The second half of this session showcases machine learning technologies spanning the full flow.
Fusion Compiler Session 3 | Thursday March 18 | 9:30 - 11:00 a.m.
Offers a practical guide to analyze and address quality of results and runtime challenges. Focuses on synthesis technologies, useful commands and debug methodologies to improve timing, area, power, congestion and time to results.
——————————————————-
Track #3: RTL to GDSII Flows & Debug
Advanced Nodes & RedHawk Analysis Fusion | Monday, March 22 | 9:30 - 11:00 a.m.
Showcases 6-nm and 5-nm advanced node support in place and route. The second half of this session covers the Red Hawk Fusion flow for power integrity.
Hierarchical Design | Tuesday, March 23 | 9:30 - 11:00 a.m.
Highlights enabling technologies for top-level design planning and implementation including freeform macro placement, floorplanning for advanced nodes, clock trunk planning and hierarchical modeling.
Multivoltage/Power Analysis | Wednesday, March 24 | 2:00 - 4:30 p.m.
Offers a practical guide to debug multi-voltage/UPF and power analysis/activity annotation issues across the full flow. Covering Design Compiler NXT and Fusion Compiler.
https://www.synopsys.com/implementation-and-signoff/resources/events/synopsys-2021-eu-workshop-series.html
@Taksuntec
Synopsys
Synopsys 2021 EU Workshop Series for Design Creation and Implementation
This media is not supported in your browser
VIEW IN TELEGRAM
زایلینکس فرانت اند VITIS را به صورت اپن سورس در گیتهاب برای همگان قرار داد :
With the front-end of Vitis HLS now open source and available to all on GitHub, software and hardware developers have the flexibility to use the standard Clang/LLVM infrastructure and customize the design flow to:
- Add support for new high-level languages beyond C/C++ and OpenCL
- Add new domain-specific optimization pragmas or compiler directives
- Customize the transformations to the LLVM IR (new LLVM passes)
The C/C++ to RTL synthesis flow in Vitis HLS consists of 2 main components :
[1] Front-end: This component parses the code expressed in C/C++ or OpenCL, applies front-end and middle-end transformations using the Clang/LLVM tool chain
[2] Back-end: This phase takes an LLVM IR input and performs FPGA-specific lowering and scheduling till the final step, RTL generation
https://forums.xilinx.com/t5/AI-and-Machine-Learning-Blog/Opening-a-World-of-Possibilities-Vitis-HLS-Front-end-is-Now-Open/ba-p/1211207
@Taksuntec
With the front-end of Vitis HLS now open source and available to all on GitHub, software and hardware developers have the flexibility to use the standard Clang/LLVM infrastructure and customize the design flow to:
- Add support for new high-level languages beyond C/C++ and OpenCL
- Add new domain-specific optimization pragmas or compiler directives
- Customize the transformations to the LLVM IR (new LLVM passes)
The C/C++ to RTL synthesis flow in Vitis HLS consists of 2 main components :
[1] Front-end: This component parses the code expressed in C/C++ or OpenCL, applies front-end and middle-end transformations using the Clang/LLVM tool chain
[2] Back-end: This phase takes an LLVM IR input and performs FPGA-specific lowering and scheduling till the final step, RTL generation
https://forums.xilinx.com/t5/AI-and-Machine-Learning-Blog/Opening-a-World-of-Possibilities-Vitis-HLS-Front-end-is-Now-Open/ba-p/1211207
@Taksuntec
*
وبلاگ جذاب و آموزشی برای بیان دلایل استفاده از FPGAها در فضا (در سفر اخیر به مریخ) :
- Re-programmability
- Low power
- Radiation tolerant
- Security
- Cost efficiency and faster time-to-space
https://www.aldec.com/en/company/blog/188--how-does-the-mars-perseverance-rover-benefit-from-fpgas-as-the-main-processing-units
@Taksuntec
وبلاگ جذاب و آموزشی برای بیان دلایل استفاده از FPGAها در فضا (در سفر اخیر به مریخ) :
- Re-programmability
- Low power
- Radiation tolerant
- Security
- Cost efficiency and faster time-to-space
https://www.aldec.com/en/company/blog/188--how-does-the-mars-perseverance-rover-benefit-from-fpgas-as-the-main-processing-units
@Taksuntec
This media is not supported in your browser
VIEW IN TELEGRAM
طرز کار کامل استفاده از VITIS 1.3 در پلتفرم های AVNET (بردها و دوربین ها و...)
This guide provides detailed instructions for targeting the Xilinx Vitis-AI 1.3 flow for Avnet Vitis 2020.2 platforms.
https://www.hackster.io/AlbertaBeef/vitis-ai-1-3-flow-for-avnet-vitis-platforms-cd0c51?utm_campaign=shared&utm_medium=a0090f108a37&utm_source=linkedin
@Taksuntec
This guide provides detailed instructions for targeting the Xilinx Vitis-AI 1.3 flow for Avnet Vitis 2020.2 platforms.
https://www.hackster.io/AlbertaBeef/vitis-ai-1-3-flow-for-avnet-vitis-platforms-cd0c51?utm_campaign=shared&utm_medium=a0090f108a37&utm_source=linkedin
@Taksuntec
ویدیوی ارائه "طراحی مدارات با مصرف توان پایین برای Approximate Computing" :
Energy-Efficient Circuit Design for Approximate Computing
https://www.youtube.com/watch?v=5kiqZdLq0gA
@Taksuntec
Energy-Efficient Circuit Design for Approximate Computing
https://www.youtube.com/watch?v=5kiqZdLq0gA
@Taksuntec
YouTube
Sherief Reda (Brown University) - Energy-Efficient Circuit Design for Approximate Computing
Approximate computing is an emerging computing paradigm, where computing accuracy is relaxed for improvements in hardware metrics, such as design area and po...
ثبت نام وبینار کیدنس با موضوع : (رایگان)
Automatic end-to-end formal verification of RISC-V processors
https://www.axiomise.com/automatic-formal-verification-riscv/
@Taksuntec
Automatic end-to-end formal verification of RISC-V processors
https://www.axiomise.com/automatic-formal-verification-riscv/
@Taksuntec
axiomise
Automatic end-to-end formal verification of RISC-V processors | axiomise
*
ثبت نام مسابقات FPT 2021 با موضوع خودروهای خودران بوسیله FPGA در یک مسیر داده شده:
اطلاعات بیشتر و جزییات مسابقه:
https://parallel.auckland.ac.nz/fpt21/competition.html
@Taksuntec
ثبت نام مسابقات FPT 2021 با موضوع خودروهای خودران بوسیله FPGA در یک مسیر داده شده:
اطلاعات بیشتر و جزییات مسابقه:
https://parallel.auckland.ac.nz/fpt21/competition.html
@Taksuntec
Forwarded from Meysam Shahbazi
PCB_Currents_Prentice_Hall_Modern_Semiconductor_Design_Series_sub.pdf
13.8 MB
سلام دوستان یه کتاب خوب برای طراحی پی سی بی فرکانس بالا
چون تو اینترنت به راحتی پیدا نمیشه اینجا گذاشتم🌹
چون تو اینترنت به راحتی پیدا نمیشه اینجا گذاشتم🌹
همونطور که میدونین متاسفانه الکترونیک در ایران صنف نداره.
در جهت ارتقاع جامعه الکترونیک و تعامل بهتر بین کارفرما و کارمندان یه نظر سنجی گذاشتیم.
انشالله نتایج درستی تولید بشه و بعد از نظرسنجی در سایت منتشر میکنیم
لطفا در نظر سنجی شرکت کنین و از دوستان خودتونم بخواین در این نظر سنجی شرکت کنن.
انشالله سال های بعد نظرسنجی جامع تری ایجاد میکنیم.
https://b2n.ir/Elecnazar99
در جهت ارتقاع جامعه الکترونیک و تعامل بهتر بین کارفرما و کارمندان یه نظر سنجی گذاشتیم.
انشالله نتایج درستی تولید بشه و بعد از نظرسنجی در سایت منتشر میکنیم
لطفا در نظر سنجی شرکت کنین و از دوستان خودتونم بخواین در این نظر سنجی شرکت کنن.
انشالله سال های بعد نظرسنجی جامع تری ایجاد میکنیم.
https://b2n.ir/Elecnazar99
Taksun
نظرسنجی جامعه الکترونیک در سال 99 - Taksun
این نظر سنجی میتواند در ارتقاء و ارتباط بین کارفرمایان و کارمندان مفید واقع شود. لطفا دوستان خود را به این نظر سنجی دعوت کنید. در پایان نظر سنجی نتایج به اشتراک گذاشته خواهد شد.
Taksuntech.ir
همونطور که میدونین متاسفانه الکترونیک در ایران صنف نداره. در جهت ارتقاع جامعه الکترونیک و تعامل بهتر بین کارفرما و کارمندان یه نظر سنجی گذاشتیم. انشالله نتایج درستی تولید بشه و بعد از نظرسنجی در سایت منتشر میکنیم لطفا در نظر سنجی شرکت کنین و از دوستان خودتونم…
نتایج نظر نظر سنجی
در این نظر سنجی متاسفانه تعداد شرکت کننده ها پایین بود و در این لحظه حدود ۳۱ شرکت کننده در ابن نظر سنجی شرکت کرده بودن. بیش از ۱۲۷ نفر از صفحه بازدید داشتن که فقط ۳۱ نفر در این نظر سنجی شرکت کرده بودن.
در این نظر سنجی حداکثر درآمد متعلق به مدیران بخش بازرگانی با بیش از ۱۰ سال سابقه کاری بود و جالبه که برنامه نویسی و ... انجام نمی دادند با بیش از ساعتی ۷۰ هزار تومان درآمد.
باقی نتایج شاید براتون جالب باشه که از لینک زیر میتونین دنبال کنین. نتایجی مثله نظرات درموردkeil و vhdl و hls و ...
متاسفانه در جامعه الکترونیک هنوز همدلی و یک رنگی مشاهده نمیشه. که نمونش در این رای گیری مشاهده شد.
برای بالاتر رفتن اعتبار نتایج در نظر سنجی شرکت کنید
https://survey.porsline.ir/r/KJDcWnC/
در این نظر سنجی متاسفانه تعداد شرکت کننده ها پایین بود و در این لحظه حدود ۳۱ شرکت کننده در ابن نظر سنجی شرکت کرده بودن. بیش از ۱۲۷ نفر از صفحه بازدید داشتن که فقط ۳۱ نفر در این نظر سنجی شرکت کرده بودن.
در این نظر سنجی حداکثر درآمد متعلق به مدیران بخش بازرگانی با بیش از ۱۰ سال سابقه کاری بود و جالبه که برنامه نویسی و ... انجام نمی دادند با بیش از ساعتی ۷۰ هزار تومان درآمد.
باقی نتایج شاید براتون جالب باشه که از لینک زیر میتونین دنبال کنین. نتایجی مثله نظرات درموردkeil و vhdl و hls و ...
متاسفانه در جامعه الکترونیک هنوز همدلی و یک رنگی مشاهده نمیشه. که نمونش در این رای گیری مشاهده شد.
برای بالاتر رفتن اعتبار نتایج در نظر سنجی شرکت کنید
https://survey.porsline.ir/r/KJDcWnC/
پُرسلاین
پرسش نامه جامعه آماری الکترونیک
با پُرسلاین به راحتی پرسشنامه خود را طراحی و ارسال کنید و با گزارشهای لحظهای آن به سرعت تصمیم بگیرید.
*
ثبت نام در چالش و مسابقات هوش مصنوعی در سخت افزار های لبه:
Eyes on Edge: tinyML Vision Challenge! with tinyML Foundation
Create inspiring new applications using tinyML on computer vision and win prizes and recognition from the tinyML Foundation!
Win up to $6,000+ in prizes!
لینک ثبت نام
ویدیوی معرفی کامل این چالش
@Taksuntec
ثبت نام در چالش و مسابقات هوش مصنوعی در سخت افزار های لبه:
Eyes on Edge: tinyML Vision Challenge! with tinyML Foundation
Create inspiring new applications using tinyML on computer vision and win prizes and recognition from the tinyML Foundation!
Win up to $6,000+ in prizes!
لینک ثبت نام
ویدیوی معرفی کامل این چالش
@Taksuntec
آموزش صفر تا صد روشن خاموش کردن LED ها در Ultra96 v2 :
Ultra96v2, Zynq UltraScale+ MPSoC - Flashing LEDs
لینک ویدیوی آموزشی : مدرس Mohammad Hosseinabady
https://www.youtube.com/watch?v=08f23pOl-Tk
@Taksuntec
Ultra96v2, Zynq UltraScale+ MPSoC - Flashing LEDs
لینک ویدیوی آموزشی : مدرس Mohammad Hosseinabady
https://www.youtube.com/watch?v=08f23pOl-Tk
@Taksuntec
YouTube
Ultra96v2, Zynq UltraScale+ MPSoC - Flashing LEDs
Udemy Course Coupons Link: https://highlevel-synthesis.com/2021/03/29/high-level-synthesis-for-fpga-online-courses-coupons/