Максим imaxai Горшенин
24.7K subscribers
7.95K photos
539 videos
50 files
10.4K links
Про настоящее импортозамещение в IT от инсайдера.

Реклама: https://telega.in/c/imaxairu

Сотрудничество: @adm_imaxai

Автоканал со стороны ИТ: @imaxaiauto
Download Telegram
Please open Telegram to view this post
VIEW IN TELEGRAM
Please open Telegram to view this post
VIEW IN TELEGRAM
#intel

Компания Intel обнародовала свежие планы по освоению передовых техпроцессов

В том числе компания анонсировала 1,4-нм техпроцесс Intel 14A, который станет первой в мире технологией производства чипов с использованием литографии в сверхжёстком ультрафиолете с высокой числовой апертурой (High-NA EUV)

Техпроцессы Intel 7 и Intel 4 уже представлены на рынке, а Intel 3 готов к крупносерийному производству

Разработка техпроцессов Intel 20A (2 нм) и 18A (1,8 нм) идёт по плану или даже опережает его

Руководство компании ожидает, что Intel вернет себе лидерство в сфере передовых полупроводников с запуском Intel 18A в 2025 году

Intel уже предоставила партнёрам инструменты для проектирования чипов под техпроцесс 18A в версии PDK 0.9, а финальная версия инструментов PDK 1.0 появится в апреле или мае

Кроме того, Intel уже завершила проектирование серверных процессоров Xeon Clearwater Forest, то есть они фактически готовы к производству

Расширенный план по освоению технологических процессов Intel включает новый Intel 14A, а также несколько специализированных версий, представленных ранее техпроцессов

Компания пока не раскрывает целевые показатели производительности и плотности для 14A, заявляя, что пока не хочет ставить конкурентов в известность

Известно, что 1,4-нм чипы Intel будут оснащены системой питания следующего поколения PowerVia (вероятно, Source-on-Contact) и транзисторами RibbonFET GAA

В планах Intel значатся две разновидности 14A: стандартная 14A и последующая улучшенная версия 14A-E, где буква E означает расширение возможностей

Intel пока не называет точные даты, но известно, что техпроцесс 14A-E будет запущен в тестовое производство в 2027 году

Соответственно можно предположить, что 14A появится в 2026 году, как минимум в тестовом виде, а к 2027-му доберётся до массового производства

Как и другие передовые техпроцессы Intel, новый 14A будет разрабатываться в Орегоне, а затем массово внедряться на других предприятиях

@imaxairu Подписаться
#asml #cpu #intel

Недавно Intel призналась, что техпроцесс 14A будет первой ступенью EUV-литографии с использованием оборудования с высокой числовой апертурой (High-NA), а компоненты первого образца такого оборудования компания начала получать ещё в прошлом году от ASML

Теперь стало известно, что специалистам ASML удалось запустить соответствующее оборудование в Нидерландах

Такое оборудование позволяет получить оптическое разрешение до 8 нм за одну экспозицию, что заметно лучше обычных EUV-сканеров, обеспечивающих разрешение 13,5 нм за одну экспозицию

Пока оборудование в Нидерландах проходит дальнейшую калибровку, и обрабатывать кремниевые пластины с целью получения полноценных тестовых чипов пока не готово

Предполагается, что после установки аналогичного сканера у себя в Орегоне Intel сможет начать подобные эксперименты, причём в рамках техпроцесса Intel 18A, хотя в серийном производстве соответствующее оборудование начнёт использовать не ранее 2026 года уже в рамках технологии Intel 14A

@imaxairu Подписаться
#intel

Власти США решили инвестировать почти $20 млрд в Intel

$8,5 млрд поступят в виде прямого финансирования, еще $11 млрд - в рамках кредитной линии на развитие производства полупроводников и других высокотехнологичных комплектующих

Средства направляются на сооружение и расширение предприятий Intel в штатах Аризона, Нью-Мексико, Огайо и Орегон

Реализация проектов позволит создать около 30 тыс. рабочих мест и поддержит еще десятки тысяч на смежных предприятиях, говорится в сообщении

Предполагается, что объем инвестиций в этой сфере превысит $240 млрд.

Как считают в американской администрации, это будет способствовать "возвращению производства полупроводников в США"

@imaxairu Подписаться
#intel

Акции Intel в США падают почти на 5% после того, как корпорация сообщила об убытках своего подразделения по производству чипов

Убытки Intel Foundry в 2023 году выросли до $7 млрд, и руководство ожидает, что в нынешнем году они будут наихудшими

В 2024 году бизнес Intel по производству микросхем может понести самые худшие операционные убытки, сказал гендиректор корпорации Пэт Гелсингер во время презентации для инвесторов

По его словам, выйти к безубыточности планируется к 2027 году

По словам Гелсингера, на результатах Intel Foundry сказались неудачные решения, в том числе то, что год назад корпорация отказалась от закупки оборудования для фотолитографии в глубоком ультрафиолете (extreme ultraviolet lithography, EUV) от нидерландской фирмы ASML

Стоимость этого оборудования может превышать $150 млн, но оно более рентабельно, чем менее новые инструменты для изготовления чипов, отмечает Reuters

Частично из-за этого Intel передала около 30% заказов внешним производителям по контрактам вроде TSMC, сообщил Гелсинер

@imaxairu Подписаться
#intel

Американская корпорация Intel продала свой крупнейший в России офис, открытый в 2020 году в Нижнем Новгороде

По словам источника агентства, компания давно искала покупателя на региональный офис

Как следует из отчетности, сделка была завершена в январе 2024 года. Покупатель не указан.

В сделку вошли само здание, а также земельные участки

По состоянию на конец 2023 года в российском подразделении Intel, в которое входит два юридических лица, работали два человека. Раньше у корпорации было в России 1,2 тыс. сотрудников

В Нижнем Новгороде Intel принадлежал RnD-офис, узловой центр исследований и разработок в России

В нем в том числе находились подразделения по разработке кросс-платформенного программного обеспечения и ИИ-решений

Корпорация приостановила работу в России в апреле 2022 года

По данным отчетности, за 2023 год два российских юрлица Intel получили чистый убыток в размере 192 млн руб. и 21 млн руб. при нулевой выручке. В 2022 году совокупный убыток двух российских лиц составил более 3 млрд руб

@imaxairu Подписаться
#intel

Российские «дочки» Intel — «Интел А/О» и «Интел Текнолоджис» — по итогам 2023 года показали нулевую выручку

Ранее обе компании были убыточными

В обеих компаниях остался один сотрудник

Эксперты считают, что в этом году Intel может решить больше не нести убытки от сохранения юрлиц в стране и закрыть их

@imaxairu Подписаться
#intel #cpu

Компания Intel углубила своё партнёрство с Пентагоном

Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой продукции

В рамках продолжения программы Rapid Assured Microelectronics Prototypes (RAMP-C) и опираясь на новый закон CHIPS правительство США впервые сможет получить доступ к самым современным техпроцессам

Третий этап программы RAMP-C будет касаться прототипов, изготовленные с использованием техпроцесса Intel 18A, на который компания делает очень большие ставки

Напомним, первые чипы по этому техпроцессу появятся в 2025 году, но массовым он станет лишь в 2026 году

Производство чипов по нормам Intel 18A для нужд национальной безопасности является частью партнерства Intel с клиентами оборонно-промышленной базы, включая Northrop Grumman и Boeing

Само собой, что именно Intel будет производить для Пентагона, неизвестно

@imaxairu Подписаться
Please open Telegram to view this post
VIEW IN TELEGRAM
#asml #intel

По слухам, Intel выкупит у ASML все литографические сканеры такого типа, которые она сможет выпустить в этом году

Напомним, сама ASML уже отмечала, что располагает заказами на выпуск 10 или 20 сканеров с высоким значением числовой апертуры в сочетании с EUV-литографией, но их выпуск только налаживается

Одну из таких систем компания установила в своей лаборатории, ещё одну успела отправить Intel в штат Орегон, и лишь недавно приступила к отгрузке третьего сканера Twinscan EXE:5000 для нужд некоего второго клиента, которым может оказаться исследовательская компания Imec из Бельгии

Она, кстати, должна помочь японской Rapidus к 2027 году наладить выпуск 2-нм продукции в Японии, поэтому от поставок данного оборудования ASML будет зависеть прогресс не только компании Intel

Кстати, TSMC от использования оборудования с высоким значением числовой апертуры при производстве чипов по технологии A16 решила воздержаться, так что реальными конкурентами на получение таких сканеров ASML для Intel остаются разве что южнокорейские Samsung Electronics и SK hynix, как сообщает издание The Elec

Они-то и должны больше всего огорчиться из-за успеха Intel в бронировании пяти литографических систем ASML с высоким значением числовой апертуры, которые компания из Нидерландов должна была выпустить в этом году

Предельная производительность ASML по таким системам не превышает шести штук в год, поэтому конкурентам Intel придётся ждать как минимум до середины следующего года, чтобы попытаться заказать поставку соответствующего литографического сканера ASML для своих нужд

Для Intel подобная новость тоже не может быть однозначно положительной, поскольку каждая из заказываемых систем стоит около $400 млн, и для оплаты пяти штук ей потребуется не менее $2 млрд

В таких условиях рассчитывать на быстрый выход производственного подразделения Intel из убыточности не приходится, и это наверняка огорчит инвесторов

@imaxairu Подписаться
Please open Telegram to view this post
VIEW IN TELEGRAM
#intel

В декабре прошлого года компания Intel объявила о намерениях построить на юге Израиля в Кирьят-Гате ещё один завод по выпуску чипов, который начал бы работу в 2028 году и обошёлся ей в $25 млрд

Теперь местные СМИ сообщают, что Intel отменила контракты на поставку оборудования и материалов для будущего предприятия и откладывает его строительство на неопределённый срок

Проект уже был согласован с властями Израиля, которые выразили готовность выделить на строительство нового предприятия Intel около $3,2 млрд, а взамен компания взяла на себя обязательства по закупке компонентов и материалов местного производства на определённую сумму ежегодно сроком на десять лет

Одна из энергетических компаний Израиля должна была потратить $900 млн на строительство новой электростанции, которой предстояло наладить энергоснабжение этого объекта Intel

Электростанцию планировалось ввести в строй к 2026 году и эксплуатировать в течение 20 лет как минимум

Intel является крупнейшим зарубежным работодателем в Израиле, на его предприятии и в исследовательских центрах в этой стране работают около 12 000 человек

Издание Calcalist утверждает, что некоторые из сотрудников и руководителей существующего предприятия Fab 28 в Кирьят-Гате недавно перешли на работу в американское подразделение, которое участвует в строительстве двух современных предприятий в штате Огайо

По всей видимости, выложить $25 млрд на строительство Fab 38 из своего кармана Intel пока не готова, а партнёров для реализации этого проекта в Израиле у неё нет, тогда как субсидии в $3,2 млрд покрывают лишь малую часть затрат

@imaxairu Подписаться
#intel

На конференции по оптоволоконной связи (OFC) 2024 группа Intel Integrated Photonics Solutions (IPS) продемонстрировала самый передовой и первый в отрасли чиплет, который компания описывает, как полностью интегрированный вычислительный оптический интерконнект (optical compute interconnect; OCI)

Чиплет совмещен с процессором Intel и работает с данными в реальном времени

Чиплет OCI увеличивает пропускную способность и снижает энергопотребление, обеспечивая ускорение рабочих нагрузок машинного обучения, что обещает совершить революцию в высокопроизводительной инфраструктуре искусственного интеллекта, как утверждает компания

Чиплет OCI предназначен для поддержки 64 каналов передачи данных со скоростью 32 Гбит/с на канал в каждом направлении по оптоволоконному кабелю длиной до 100 метров

Само собой, технология предназначена для ЦОД и прочих высокопроизводительных вычислений

Intel отмечает, что её чиплет можно интегрировать не только в CPU самой Intel, но также с другими процессорами, SoC и прочими вычислительными чипами

Разработка Intel пока является прототипом, но компания уже работает с рядом клиентов над объединением OCI с их SoC в качестве решения оптического ввода-вывода

@imaxairu Подписаться
#intel

Компания Intel, лидер на рынке процессоров на архитектуре х86 с суммарной долей 63,5%, собирается уволить несколько тысяч человек

Целью резкого сокращения штата является снижение операционных расходов, ведь чем меньше людей в компании, тем меньше денег уходит на зарплаты и отчисления

Высвободившиеся средства Intel хочет потратить на восстановление компании после спада прибыли и потери доли рынка

У второй проблемы причина одна – это компания AMD, современные процессоры которой выпускаются по гораздо более свежим техпроцессам в сравнении с чипами Intel, и линейка которых стремительно обновляется и прирастает современными моделями, тогда как Intel нередко возвращается в прошлое - летом 2024 г. она внезапно выпустила морально устаревшие чипы с принудительно отключенными энергоэффективными ядрами

@imaxairu Подписаться
#intel #arm

Во втором квартале 2024 Intel продала свою долю акций Arm примерно на $147 млн

@imaxairu Подписаться
#intel #riscv

Пока Intel занята увольнением тысяч сотрудников в связи со стремлением сократить операционные расходы на фоне безрадостного финансового положения, некоторые из ее самых опытных архитекторов процессоров с общим стажем работы в компании более 80 лет покинули ее чтобы основать собственный стартап

Как пишет портал Tom’s Hardware, компания носит название AheadComputing, и ее цель – разработка процессоров на очень перспективной архитектуре RISC-V

@imaxairu Подписаться
Please open Telegram to view this post
VIEW IN TELEGRAM
#intel

Актуальный план Intel по выходу из кризиса подразумевает продажу Altera и отказ от строительства предприятия в Германии

В качестве потенциального покупателя упоминается разработчик чипов Marvell

Напомним, что Altera была куплена Intel в 2015 году за $16,7 млрд, позже она обрела больше самостоятельности, чтобы подготовиться к публичному размещению акций, но теперь судьба этой структуры может сложиться иначе

@imaxairu Подписаться
#поглощения #intel

Производитель мобильных чипов Qualcomm изучает возможность приобретения части бизнеса Intel по разработке чипов, включая подразделение, занимающееся ПК

Intel, в свою очередь, ищет способы привлечения средств и сокращения расходов на фоне серьёзных финансовых трудностей

Qualcomm особенно заинтересована в подразделении Intel, занимающемся разработкой чипов для персональных компьютеров, настольных и мобильных Core

Однако не исключено, что внимание компании может быть сосредоточено и на других подразделениях Intel

Одновременно источники, знакомые с деятельностью Qualcomm, отметили, что приобретение некоторых частей бизнеса Intel, например, серверного сегмента, было бы менее целесообразным для компании

Несмотря на интерес Qualcomm, компания пока не обращалась к Intel с официальным предложением о покупке. А представитель Intel лишь заявил, что «мы глубоко привержены своему бизнесу в сфере ПК»

Qualcomm также отказалась от комментариев

Источники подчёркивают, что интерес Qualcomm к покупке частей бизнеса Intel пока не является окончательным решением и может измениться

@imaxairu Подписаться