RUSmicro
4.4K subscribers
1.25K photos
16 videos
23 files
4.69K links
Новости микроэлектроники, электроники и вычислительной техники. Поддержка @abloud https://t.me/abloudrealtime/6767
Комментарии и обсуждения публикаций доступны участникам группы: https://t.me/+UJbRW_5hYDA0MWFi
Download Telegram
(2) Постоянно высокие расходы на производственное оборудование в Китае, а также значительные инвестиции в расширение производства DRAM и HBM связанные с бумом вокруг ИИ, позволили пересмотреть прогноз в сторону повышения. Как ожидается, к 2025 году продажи оборудования для производства пластин вырастут на 14,7% и достигнут $113 млрд из-за возросшего спроса на передовые приложения логики и памяти.

Как ожидается, после 2-х лет спада, вызванного сложными макроэкономическими условиями и снижением спроса на полупроводники, сегмент бэк-энд оборудования во второй половине 2024 года начнет восстанавливаться. В частности прогнозируется, что продажи тестового оборудования для полупроводникового производства вырастут на 7.4% до $6,7 млрд в 2024 году, а продажи оборудования для сборки и корпусирования микросхем, согласно прогнозам вырастут на 10,0% до $4.4 млрд в том же году.

Ожидается, что в 2025 году рост сегмента бэк-энд оборудования ускорится, продажи тестового оборудования вырастут на 30,3%, а продажи сборки и упаковки – на 34,9%. Рост сегмента поддерживается постоянно растущей сложностью полупроводниковых устройств для высокопроизводительных вычислений и ожидаемым восстановлением спроса на конечных рынках – автомобильной, промышленной и бытовой электроники.

Более того, ожидается, что рост рынка оборудования бэк-энд сегмента будет продолжаться, чтобы справиться с растущими запросами из-за появления новых производственных мощностей.
В SEMI не включают в прогноз рынка оборудования для производства полупроводников оборудование для производства кристаллов (булей, их нарезки на пластины и т.п.). (..)
(3) Продажи оборудования для производства пластин (WFE) по приложениям

Ожидается, что продажи оборудования для производства пластин для контрактного производства цифровых логических микросхем покажут сокращение на 2.9% до $57,2 млрд в 2024 году из-за снижения спроса на микросхемы по зрелым технологиям и более высоких, чем ожидалось, продаж оборудования для работы с техпроцессами с более современными узлами. В 2025 году, согласно прогнозу, этот сегмент вырастет до $63,0 млрд (+10,3%), что обусловлено ростом спроса на передовые технологии, внедрением новых архитектур и увеличением закупок для расширения производственных мощностей.

По прогнозу, капиталозатраты в связи с производством памяти заметно вырастут в 2024 году, а затем продолжат рост в 2025 году. Ожидается, что продажи оборудования для выпуска NAND будут сравнительно стабильными в 2024 году, с ростом на 1.5% до $9,35 млрд по мере нормализации спроса и предложения. А вот в 2025 году ожидается резкий рост продаж такого оборудования вплоть до 55%, то есть объем рынка вырастет до $14,6 млрд. Иная картина с оборудованием для производства DRAM, согласно прогнозам, ожидается рост на 24,1% и на 12,3% в 2024 и 2025 году соответственно, чему способствует рост спроса на высокоскоростную HBM память для ИИ.

Продажи производственного оборудования по регионам

Несмотря на усилия США по восстановлению и умощнению внутреннего производства полупроводников, в лидерах по расходам на закупку оборудования в период до 2025 года останутся Китай, Тайвань и Южная Корея. Китай, как ожидается, может показать еще и рост закупок оборудования. В 2024 году, в частности, ожидается, что поставки оборудования в Китай превысят рекордные $35 млрд, а вот в 2025 году пока что ожидается, что они снизятся. В других регионах ожидается снижение закупок оборудования в 2024 году году, с их восстановлением в 2025 году.

Прогноз SEMI основан на информации от ведущих поставщиков оборудования, программе сбора данных статистики рынка полупроводникового оборудования WWSEMS и базы данных SEMI World Fab Forecast.
🇺🇸 M&A. ИИ. США

Типично американский подход к усилению позиций на том или ином рынке. AMD выпускает чипы ИИ, AMD скупает успешные стартапы на рынке ИИ

AMD приобретет финский стартап Silo AI за $665 млн для усиления своих позиций на рынке ИИ

Американская Advanced Micro Devices вчера заявила о намерении купить финский стартап в области ИИ Silo AI примерно за $665 млн, сообщает Reuters. Это очередной шаг AMD в конкурентной борьбе с Nvidia за лидерство на рынке решений ИИ. В частности, AMD утверждает, что с покупкой финской компании, сможет улучшить разработку и внедрение моделей ИИ на базе решений AMD, а также поможет потенциальным клиентам создавать сложные модели ИИ с помощью чипов компании.

Покупка Silo AI как ожидается, укрепит возможности AMD в разработке ПО.

Silo AI, расположенная в Хельсинки, Финляндия, специализируется на сложных решениях в области ИИ, которые помогают клиентам интегрировать ИИ в свои продукты и услуги. Среди клиентов стартапа такие компании как Philips, Rolls-Royce и Unilever.

Ожидается, что сделка будет закрыта во второй половине 2024 года. В 2023 году AMD приобретала и другие специализирующиеся в области ПО для ИИ компании, например, Mipsology и Nod.ai, а в целом инвестировала более $125 млн в дюжину компаний, занимающихся ИИ.
🇯🇵 Участники рынка. Господдержка. Япония

Производители чипов в Японии инвестируют $30 млрд в развитие производства

Крупные японские производители полупроводников, включая Sony Group и Mitsubishi Electric, планируют капиталовложения в размере около 5 трлн иен (~$31 млрд) до 2029 года, сообщает Nikkei Asia. В основном инвестиции планируется направлять в производство силовых датчиков и в сенсоры изображения.

Nikkei собрал информацию о планах капиталовложений в период с 2021 по 2029 финансовый год для восьми крупнейших производителей микросхем Японии: Sony Group, Mitsubishi Electric, Rohm, Toshiba, Kioxia Holdings, Renesas Electronics, Rapidus и Fuji Electric.

Общий вектор – растут инвестиции в силовые полупроводники, датчики, логические микросхемы, которые востребованы в сегменте ИИ и электротранспорта.

По результатам опроса, который проводил Минфин Японии, капитальные вложения в телеком и полупроводники в стране выросли на 30% за 5 лет до 2,1 трлн иен ($13 млрд) в 2022 финансовом году.

Инвестиции производителей микросхем в общем объеме инвестиций в производство выросли с 11% до 13% за тот же период.

Sony Group

Sony Group в период с 2021 года по 2026 финансовый год инвестирует около 1,6 трлн иен ($10 млрд) – в основном в расширение производства датчиков изображения. Сохраняется высокий спрос на продукцию компании – сенсоры для смартфонов и других гаджетов, систем автономного вождения, а также для видеомониторинга на производствах и в магазинах.

В 2023 финансовом году компания открыла новый Fab5 на своем предприятии в префектуре Нагасаки, Кюсю. Кроме того, она объявила о планах постройки нового фаба в префектуре Кумамото, Кюсю. А еще Sony Group участвует в проекте Rapidus.

На рынке сенсоров одним из основных конкурентов Sony Group является Samsung Electronics.

Toshiba и Rohm

Toshiba и Rohm инвестировали за тот же период в совокупности около 380 млрд иен ($2,4 млрд) в силовые устройства, контролирующие электроэнергию, востребованные в электротранспорте и ЦОД с ИИ.

Toshiba увеличит производство кремниевых силовых устройств на заводе в префектуре Исикава в центральной Японии, запуск проекта может состояться уже в 2024 году.

Rohm увеличит производство энергоэффективных силовых устройств из карбида кремния на своем заводе в префектуре Миядзаки, Кюсю.

Mitsubischi Electric

Mitsubischi Electric увеличит мощности по производству силовых устройств из карбида кремния в 5 раз в 2026 ф. году по сравнению с 2022 ф. годом. Компания планирует инвестировать около 100 млрд иен ($620 млн) в новое производство в Кумамото, которое будет конкурировать с продуктовым рядом компании Infineon Technologies.

Rapidus

Компания Rapidus стремится запустить производство логических полупроводников для ИИ по процессу 2нм. Прототип линии планируется запустить в эксплуатацию к апрелю 2025 года в Титосе, Хоккайдо. Серийное производство намечено на 2027 года. В проекте принимает участие американская IBM Corp.

Правительство Японии намерено предоставить 920 млрд иен ($5,7 млрд) из необходимой для проекта Rapidus общей суммы в 2 трлн иен ($12,3 млрд) – она подсчитана с учетом затрат на исследования и разработки. Компания планирует перейти к массовому производству по техпроцессу 2нм в 2027 году и в дальнейшем может нарастить инвестиции в развитие данного проекта. (..)
Please open Telegram to view this post
VIEW IN TELEGRAM
🇯🇵 Участники рынка. Передовые чипы. ИИ

Японская группа SoftBank приобрела британского производителя чипов ИИ Graphcore за неназванную сумму

Об этом сообщает Reuters. Как ожидается, эта сделка обеспечит компании, сражающейся за безубыточность, ресурсы, необходимые ей для глобальной конкуренции. Японские собственники намерены сохранить пост гендиректора за Найджелом Туном.



Очередной пример того, как легко и недальновидно в Великобритании расстаются со своим интеллектуальным потенциалом, вспомнить хотя бы ARM, а также ушедшую китайскому фонду Imagination. Теперь за ними последовала еще одна потенциальная жемчужина в короне – компания Graphcore. Британские властители продолжают разбазаривать доставшееся им наследство, в данном случае, интеллектуальное.

Компания Graphcore, разработчик сложных чипов для систем ИИ, была основана в 2016 году в Бристоле, Великобритания. Вскоре ее заметили в Microsoft и начали было с ней работать, но в 2020 году разорвали соглашения и приступили к разработке собственной микросхемы для задач ИИ. Тем не менее, на конец 2020 года, после раунда финансирования в объеме $222 млн оценка компании составила $2,77 млрд.

Британскими передовыми разработками заинтересовались в Китае, было открыто представительство Graphcore в этой стране с планами по конкуренции с Nvidia за китайский рынок, что могло бы принести британцам немалую выручку. Быстро сработать не получилось, в 2022 году выручка компании сократилась на 46% год к году, а убытки достигли $204,6 млн. Для продолжения работы компании было необходимо дальнейшее финансирование.

Вместо новых денег компания получила новый удар – американские санкции ограничили возможности поставки в Китай ее разработок. В ноябре 2023 года в Graphcore приняли решение о сокращении персонала в представительстве в Китае и заявили, что более не планируют продавать свою продукцию китайским компаниям.

Кроме того, для выхода на безубыточность компания сократила 20% сотрудников – сейчас их 494, а также закрыла операции в Норвегии, Японии и в Южной Корее.

Получится ли ренессанс на японские деньги? Посмотрим в ближайшие годы, конкуренция в сегменте успела вырасти, в Graphcore не смогли реализовать преимущества раннего выхода на рынок. Не в последнюю очередь потому, что британские власти и финансовые структуры, например, пенсионные фонды, не оценили потенциал перспективного участника рынка.
Please open Telegram to view this post
VIEW IN TELEGRAM
🇺🇸 Новые материалы. Производство микросхем

Компания Applied Materials представила на выставке Semicon West 2024 ряд новинок

🔹 Новую модификацию материала Black Diamond или low-k, новинку в семействе PECVD Producer Black Diamond. Эта пленка используется для снижения эффекта накопления электрических зарядов, что позволяет добавляться низких значений диэлектрической проницаемости.

Считается, что это очень важно при переходе техпроцессов на уровни от 2нм и ниже. К тому же, этот материал обладает повышенной механической прочностью, что очень важно для изготовления многослойной «трехмерной» логики, где слои ячеек памяти укладывают друг на друга, разделяя диэлектрической пленкой, скрепляющей конструкцию и изолирующей слои друг от друга – с прочной пленкой проще добиться большей высоты (то есть большего числа слоев и большего объема памяти) многослойной конструкции.

Applied Materials утверждает, что новинка уже используется производителями логических цифровых микросхем и микросхем памяти DRAM.

🔹 Комплексное решение на основе металлического сплава RuCo (рутений-кобальт), которое позволяет улучшить свойства металлической разводки на поверхности кристаллов, изготавливаемых по техпроцессу 2нм.

В частности, как заявляет Applied Materials, применение этого бинарного материала позволяет на 33% уменьшить толщину микропроводников, которые обеспечивают «проводку» на поверхности чипа, что снижает сопротивление микролинии на 25%. Это обещает снижение энергопотребления чипа и/или рост его производительности. Технологию уже задействовали некоторые партнеры компании, в том числе, для работы по техпроцессу 3нм.

В целом у компании AMAT все идет неплохо, несмотря на негативное влияние экспортного контроля США на объемы поставок в Китай. Бизнес компании в сегменте оборудования для упаковки-корпусирования, как ожидается, вырастет на 70% год к году до $1,7 млрд за квартал. А разработки в области GAA как ожидаются, принесет AMAT более $2,5 млрд в 2024 году и $5 млрд в 2025 году. Капитализация компании оценивается в $200,9 млрд, ее акции растут вот уже 7-й месяц подряд.
🇲🇾 Тестирование пластин. Малайзия

Бельгийская Melexis открыла крупнейший центр тестирования пластин в Малайзии

Производство разместилось в городе Кучунг, Саравак. Место выбрано грамотно, рядом расположен контрактный производитель X-FAB, один из ключевых производителей пластин для Melexis, пластины смогут проходить тестирование без перемещений между странами и континентами.

4-этажное здание с площадью 4500 кв.м называют крупнейшим предприятием в мире. Не знаю, насколько достоверно это утверждение. Здание оборудовано собственной фотовольтаической установкой со средней производительностью в 30 тыс. кВт.ч в месяц, что снижает зависимость предприятия от внешних поставщиков электроэнергии (и считается «зеленым» подходом). Объект предусматривает возможность дальнейшего масштабирования на случай, если это будет необходимо.

Малайзия последовательно стремится укреплять свои позиции на перспективном и стратегически важном рынке микроэлектроники. Для этого правительство страны создает благоприятные условия для бизнеса, прежде всего, ради привлечения в страну зарубежных инвесторов, которые создают в Малайзии современные производства.

Навскидку, глядя на очень небольшой список известных мне предприятий микроэлектронной отрасли Малайзии вижу компании из США (Intel, Micron, Amkor Technology, MKS Investments), Австрии, Германии, Тайваня, Германии, Бельгии, Японии и Китая. На деле наверняка найдутся и компании из других стран. Неоколониализм на марше?

Но не стоит думать, что в стране нет собственного производства. Здесь не боятся конкуренции с «варягами», как в иных странах, не списывают на их присутствие на рынке невозможность развития собственного производства. Вместо этого в стране собираются инвестировать более $100 млрд (не знаю, за какой точно период), а также обучить порядка 60 тысяч инженеров, чтобы привлечь в сегмент еще больше компаний.

Задача, которую ставят перед собой власти Малайзии – превратить эту страну в один из немногочисленных в мире центров производства чипов.

Сейчас основная специализация Малайзии – тестирование и упаковка/корпусирование микросхем. Несмотря на жесткую конкуренцию в этом сегменте в Юго-Восточной Азии, Малайзия уже обеспечивает 13% глобального рынка тестирования и упаковки полупроводников благодаря собственным и зарубежным предприятиям. В стране размещено также немало научно-исследовательских центров.

Google в мае 2023 года пообещал инвестировать в Малайзии $2 млрд для развертывания своего первого ЦОД и Google Cloud в регионе. Это должно помочь расширению доступа бизнесов Малайзии к ИИ.
🇪🇺 Фотолитография. High NA EUV

ASML и imec открывают совместную лабораторию High NA EUV-литографии в Вельдховене

Об этом уже кратко было в канале еще в июне, когда она и была открыта. Но сегодня появилось время чуть больше рассказать об этой интересной теме по материалам imec.

Это важная веха в подготовке High NA EUV к внедрению в массовое производство и очередная ступень в движении к Hyper-NA EUV.

Лаборатория обеспечит возможность доступа к прототипу EUV-сканера с высокой числовой апертурой (0,55NA) TWINSCAN EXE:50000 и необходимой инфраструктуре для передовых производителей кристаллов памяти и логических микросхем. Оборудование включает линию нанесения покрытия и разработки, метрологические инструменты, а также системы обработки пластин и подготовки масок. Imec и ASML готовы оказывать необходимую поддержку IDM и контрактным производства в снижении рисков, связанных с применением технологии фотолитографии, и в разработке частных сценариев использования EUV с высокой числовой апертурой (NA) еще до того, как сканеры будут введены в эксплуатацию на их производственных предприятиях.

ASML и imec приглашают к участию в проекте также представителей экосистемы поставщиков – они смогут оценить потребности производителей, планирующих переход к использованию High NA EUV.Также ожидается участие в проекте специалистов по созданию масок для фотолитографии.

Лаборатория создана прежде всего с акцентом на фотолитографии High NA EUV, для содействия в ускоренном и экономичном запуске производства на базе соответствующего оборудования.

ASML и Zeiss разработали необходимые решения для сканеров Nigh NA EUV, в том числе, источник, оптику, решения для достижения анаморфности линз, сшивки (stitching), уменьшения глубины резкости (DOF), устранения ошибок размещения краев (EPE), повышение точности наложения. Параллельно в imec, сотрудничая с ASML и поставщиками, подготовили экосистему для создания паттернов 0,55NA, как сообщалось на конференции SPIE Advanced Lithography and Patterning Conference 2024 года. Были обеспечены доступность передовых фоторезистов и подложек, фотомасок, метрологических методов, стратегий анаморфной визуализации, оптической коррекции близости (OPC), а также интегрированных методов формирования рисунка и травления в рамках решения High NA EUV.

На сегодня уже выпущены первые пластины с применением этого решения. Удается формировать линии/промежутки шириной 10 нм и 16 нм (т.е. с шагом 20 нм и 32 нм, соответственно) с использованием металлооксидных резистов (MOR) и химически усиленных резистов (CAR), соответственно.

Готов прототип сканера и необходимая инфраструктура, а внедрение этого решения в крупносерийное производство ожидается в 2025 – 2026 годы. (..)

((если где-то заметите грубые смысловые ошибки, а может быть и терминологические, пишите в личку или в чат, буду признателен))
(2) Рис. 1 Сканер EXE:5000 High NA EUV сканер, развернутый в лаборатории High NA Lab, демонстрирует линии 16 нм и впервые созданные за 1 экспозицию линии с шириной 10 нм линии/промежутка.

Первые пользовательские сценарии: создание логических чипов по техпроцессу 14А и памяти D0a DRAM

EUV High NA планируется внедрить в массовое производство логических микросхем по техпроцессу 14А, в рамках которой сканер будет создавать узоры металлических линий/промежутков с шагом 24 нм за экспозицию с планами выхода на шаг 18 нм. Это повысит производительность и сократит время цикла относительно распространенного производства на базе литографов 0,33NA EUV. В конечном итоге, это позволит формировать сложные структуры, необходимые для создания узлов на базе комплиментарных полевых транзисторов (CFET). Как ожидается, переход на эту технологию состоится в качестве следующего шага после распространения технологии GAAFET, через несколько лет.

Внедрение сканеров EUV High NA в производство DRAM произойдет позднее – здесь технологию планируют задействовать для формирования посадочных площадок узлов хранения изображений и периферии битовых линий – также за одну экспозицию. Поколение технологии D0a 2D-DRAM, как ожидается, станет первым, которое потребует шага между центрами в 28 нм. (..)
(3) К следующим поколениям High NA EUV

Пока производственные предприятия планируют в ближайшее время внедрять High NA EUV в крупносерийное производство, imec и ее партнеры работают в рамках более долгосрочных идей, стремясь к созданию решений High NA EUV следующих поколений. Созданная совместная лаборатория станет частью этих процессов.

Экспонированные в Вельдховене пластины будут подвергаться последующей обработке и изучению в чистом помещении imec для работы с пластинами 300 мм.
Партнеры намерены внимательно следить за работой прототипа основы литографического кластера High NA EUV, то есть сканера и трека. Для этого в imec подготовлены специальные стеки пластин, а базовые процессы перенесены в кластер High NA EUV.

Команда исследователей будет оценивать работу кластера, стремясь к достижению предельного шага в 18 нм (линий/промежутков). Также здесь постараются обеспечить шаг 28 нм для создания металлизированных контактных отверстий. Будет проведена работа над повышением стабильности, например, стабильности в получении стабильности критического размера (CD) и снижением плотности дефектов структур после экспозиции, а также поработают над эффективной глубиной резкости (DOF). Ожидается, что из-за большой числовой апертуры глубина резкости будет в 2-3 раза меньше, чем в 0,33NA EUV, что потребует использования более тонких пленок резиста в процессах на машинах 0,55NA EUV.

Совместно с партнерами, специализирующимися в области метрологии, в imec разработали и установили в совместной лаборатории специальные инструменты контроля для оценки и работ по снижению дефектности паттерновых структур. В качестве основного инструмента исследований дефектов при формировании сверхмалых контактных отверстий был выбран электронно-лучевой контроль. Исследователи стремятся добиться амбициозной цели отрасли – 1 дефект на 1 млн проконтролированных контактных отверстий. Для контроля металлических линий и промежутков между ними применяется сочетание инструментов оптического и электронно-лучевого контроля для обнаружения и классификации дефектов с тем, чтобы разработать способы минимизации их до уровня менее 1 дефекта на куб.см.

Пока что находятся в стадии подготовки сценарии изготовления на новом оборудовании чипов логики и памяти DRAM. Более крупные технологические модули будут тестироваться на предмет достигнутого при их изготовлении разрешения структуры и на дефекты. Для этого будет применяться, например, комбинация уже перечисленных способов контроля, а также электрические испытания. (..)
(4) Расширение применения 0,33NA EUV может обеспечить выигрыш как для использования Low NA и High NA EUV

Сканеры EUV 0,33NA последнего поколения, также развернутые в чистом помещении, это дополняющая инфраструктура, которая позволяет улучшить экосистему формирования паттернов High NA EUV. Не все части чипа требуют применения оборудования High NA EUV, как, например, структура промежуточных и глобальных линий межсоединений. Поэтому продолжается усовершенствование экосистемы 0,33NA EUV. Кроме того, некоторые проблемы, например, необходимость улучшения резистов, общие для 0,33NA и 0,55NA.

Одно из основных направлений деятельности imec в области совершенствования экосистемы 0,33NA, это «полевая сшивка» для перехода к High NA EUV. Необходимость в сшивке возникает из-за введения в процесс анаморфотной линзы, то есть линзы, с различным коэффициентом преломления в направлениях Х и Y, в сочетании с неизменным размером заготовки маски, что приводит к тому, что можно использовать лишь половину поля пластины.

На конференции SPIE Advanced Litho + Patterning в 2024 году компания imec поделилась своими разработками в области сшивания в среде с высоким разрешением, что снижает необходимость корректирования конструкции сканера для того, чтобы справиться с уменьшением размера поля. Соответствующие тесты проводились на сканере ASML NXE:3400C в чистом помещении imec в сотрудничестве с ASML и партнерами, специализирующимися на изготовлении масок.

Еще одна ключевая тема – разработка фоторезиста и подложки. Вместе с поставщиками фоторезистов, в imec проверяют альтернативные фоторезисты и оценивают их характеристики на предмет дефектности, шероховатостей и расхода. Химически усиленные резисты (CAR) десятилетиями доминировали в оптической литографии и их будут продолжать использовать. Но High NA EUV стимулирует использование металлооксидных резистов (MOR), которые показали хорошие характеристики при создании линий/промежутков с высоким разрешением и небольших шестигранных столбиков.

Фундаментальные исследования того, как этим MOR реагируют на EUV излучение, позволят решить такие проблемы, как стабильность этих фоторезистов. Также идут исследования в области материалов подслоя для повышения адгезии к ним фоторезистов. Кроме того, отдельные комбинации подслоя и фоторезиста позволяют снизить необходимую дозу облучения, что положительно влияет на снижение себестоимости производственного процесса на основе EUV High-NA.

Наконец, в imec сосредоточатся на направленной самосборке (DSA), которая использует микроразделение блок-сополимера (BCP) для формирования рисунка. Промышленность проявила интерес к DSA применительно к EUV, поскольку это позволяет снижать шероховатость, устранять дефекты и снижать необходимую дозу излучения. Исследователи намерены и далее добиваться снижения дефектности при использовании DSA. В перспективе разработки DSA планируется распространить и на High NA EUV. Для этого разработчикам придется масштабировать шаблоны DSA до шага менее 24 нм. Поскольку этой цели не получится достичь с использованием применяемых сегодня блок-сополимеров типа PS-b-PMMA, в imec начали исследовать так называемые high-χ BCP, вместе с поставщиками материалов для DSA. (..)
(5) Hyper-NA EUV: следующее событие в фотолитографии?

Хотя еще слишком рано называть это событием, imec и ASML начали готовить технико-экономическое обоснование для применения Hyper-NA EUV. Отличаясь еще более высоким показателем числовой апертуры 0,75-0,85, Hyper-NA может стать преемником технологии 0,55NA EUV. Ожидается, что эта технология позволит промышленности печатать элементы линия/пропуск с шагом заметно ниже 20нм, что должно позволить отказаться от использования многошаблонности в техпроцессе EUV.

Станет ли эта технология реальностью производства? Будет зависеть от того, будет ли отрасль демонстрировать соответствующую потребность, а также от того, получится ли у ученых преодолеть все технологические препятствия.

Одна из проблем – это дальнейшее снижение показателя DOF (глубины резкости), которая снижается обратно пропорционально площади NA. Окажется ли достижимый показатель DOF пригодным для промышленного производства? Получится ли найти приемлемый выход при существующих ограничениях в плане работы с глубиной резкости? В конечном итоге, как и в случае с предыдущими поколениями оптической литографии, решение будет зависеть и от того, насколько оно могло бы повлиять на снижение затрат производителя. Речь идет о поиске экономически оптимальной точки – момента, когда баланс затрат и выгод от внедрения новой технологии окажется более привлекательным, чем «старая» технология. (..)
(6) Рис. 2 – Картинка демонстрирует снижение на 30% выбросов «эквивалента CO2» при переходе от Low NA LELE процесса к одноразовой экспозиции High NA LE. Для чистоты сравнения, в обоих случаях подразумевалась достигаемая производительность в 220 пластин в час.

Энергопотребление

Изменения в возможном энергопотреблении оценивались с помощью модели imec.netzero. Модель показала, что один этап работы сканера EXE:5200 с 0.55NA требует больше энергии, чем один этап работы сканера NXE:3800 с 0.33NA при условии, если используется одинаковый источник EUV и речь идет об одной и той же производительности в терминах пластин. Это связано, прежде всего, с более быстрыми процессами, которые потребовалось модифицировать для поддержания необходимой пропускной способности, уменьшившейся из-за сокращения экспонируемой площади в High NA EUV машине. Это, в свою очередь, следствие использования анаморфотной линзы для достижения более высокой числовой апертуры.

Несмотря на более высокое потребление сканера 0.55NA, модель показала снижение общего энергопотребления, поскольку процесс с более высоким значением апертуры позволяет сократить число экспонирований. В частности процессы LELE Low NA заменяются на однократную экспозицию High NA EUV.

В целом потребление электроэнергии можно контролировать за счет корректировки производительности сканера, которую принято измерять в пластинах в час (wph). Снижение дозы фоторезиста и управление размером кристалла / шагом сетки – ключевые факторы управления производительностью, т.к. оба этих показателя влияют на время, необходимое EUV-сканеру с High NA для завершения экспонирования пластины.

Кроме литографии, в выбросы CO2 значительное влияние вносят процессы травления. В большинстве процессов сухого травления применяют фторсодержащие соединения которые считают еще менее экологичными, чем CO2. В imec работают над разработкой процессов, которые бы снизили выбросы фторсодержащих соединений.

Помимо выбросов, есть и другие области, вызывающие беспокойство, в частности, нехватка ряда материалов и необходимость применения токсичных веществ, таких как PFAS (поверхностно-активные вещества, ПАВ). ПАВ применяют на ряде этапов полупроводникового производства, включая работу с резистами CAR и промывочные материалы. Переход к High NA EUV может означать переход на фоторезисты MOR, не содержащие ПАВ.

В imec уже добились и показали хорошие результаты при создании небольших линий/промежутков с использованием MOR, а также при создании контактных отверстий с использованием резистов MOR и бинарной маски светлого поля. Тем не менее, CAR останутся «рабочей лошадкой» для сооздания менее важных функциональных узлов чипа. Вместе с поставщиками материалов, в imec ведут исследования, чтобы найти и изучить пригодные альтернативы без использования ПАВ или с ограниченным их использования.

Для тех, кто хотел бы узнать больше:

[1] «Imec и ASML открывают совместную лабораторию литографии High NA EUV, предлагающую платформу ранней разработки для передовой полупроводниковой экосистемы», пресс-релиз imec, июнь 2024 г. https://www.imec-int.com/en/press/asml-and-imec-open-joint-high-na-euv-lithography-lab-offering-early-development-platform ;

[2] «Imec демонстрирует готовность экосистемы формирования паттернов EUV High-NA», пресс-релиз imec, февраль 2024 г. https://www.imec-int.com/en/press/imec-demonstrates-readiness-high-na-euv-patterning-ecosystem ;

[3] «Imec представляет рычаги для сокращения выбросов в эквиваленте CO2 при литографии и травлении для передовых технологических узлов», пресс-релиз imec, февраль 2024 г. https://www.imec-int.com/en/press/imec-presents-levers-reduce-co2-equivalent-footprint-lithography-and-etch-advanced-technology
Please open Telegram to view this post
VIEW IN TELEGRAM
🔥 Регулирование. Рынок светодиодной продукции

Проблемы регулирования и российский рынок светодиодов

Важную тему о области регулирования поднимаются сегодня Ведомости. В области светодиодных светильников в России с 1 декабря 2020 года действует балльное регулирование, которое на сегодняшний день признает российской продукцию, набирающую не менее 150 баллов.

Этот уровень российские производители освоили – они покупают за рубежом кристаллы и корпуса, а затем используют их для сборки светодиодов, получая за корпусирование 60 баллов, за использование российских люминофоров 50 баллов и за контрольные испытания 40 баллов.

Но с января 2025 в приложении к ПП 719 от 17 июля 2015 записана новая хотелка – набор не менее 200 баллов.

Как можно было бы прийти к этой цифре?

Либо начать производить на территории стран ЕАЭС соответствующие полупроводниковые кристаллы – +70 баллов, либо освоить производства корпусов для них – +50 баллов. Если этого не сделать, в России пропадет российская светодиодная продукция, все, что сейчас записано в реестр Минпромторга, придется из него вычеркнуть.

Есть высокая вероятность того, что к 2025 году ни того, ни другого производства в России не появится. Причины тому простые.

Корпуса

Создание предприятия по производству корпусов в теории более-менее реалистично. Та же GS Group наверняка смогла бы с этим без труда справиться, как с инженерной задачей. Но для проекта потребуется порядка 3-4 млрд рублей, вряд ли в компании есть такие свободные средства или желание их занять в условиях текущей турбулентной ситуации, малого рынка с нестабильным регулированием и низких цен на китайскую продукцию.

Кристаллы

Если же всерьез говорить о создании соответствующего полупроводникового производства светоизлучающих кристаллов, необходимы инвестиции на порядок большие – от 25 до 40 млрд рублей или более. При отсутствии жестких гарантий сбыта и окупаемости вряд ли в России найдется хоть одна частная компания, готовая к таким масштабным инвестициям в эту тему.

Сейчас производством (корпусированием) светодиодов в России занимаются GS Group, Калининград, и Русид, Армавир. Но эта деятельность не субсидируется Минпромторгом. В итоге на сегодня российские светодиоды примерно в 7-8 раз дороже китайских. Это оставляет мало шансов на конкуренцию без заградительных мер государства любому, кто захотел бы заниматься производством светодиодов в России по полному циклу.

Какой отсюда вывод?

Придется сдвигать сроки введения нового уровня балльности для признания светодиодов, собираемых в России, российской продукцией. К январю 2025 года отрасль уже не успела. И не успеет и далее, если государство не обеспечит необходимую финансовую поддержку, прежде всего, в создании необходимого производства, хотя бы производства корпусов, а лучше – производства кристаллов. Нужны те самые миллиарды.

Среди других мер (нравящихся мне куда меньше):

🔹 введение требований о минимальной обязательной доле закупок отечественной светотехники с проверками и штрафами за невыполнение;
🔹 введение субсидирования закупок российской продукции (в целом малополезная мера)

В России уже были "подходы к снаряду" производства светодиодов полного цикла. Этим пробовал заниматься, например, Оптоган в Санкт-Петербурге. Компания была создана при поддержке Роснано, и сейчас на ее официальном сайте я не нашел даже следов ее профильной деятельности.

Когда-то были и планы создания завода полного цикла по данному направлению в Томской области, на базе Научно-исследовательского института полупроводниковых приборов, но, похоже, эти планы тоже не были реализованы.

Сейчас о полном цикле в производстве светодиодной продукции заявляет АО Протон, Орел (фото в заголовке), но я, признаться, недостаточно знаю о масштабах деятельности этого предприятия.
Forwarded from SVMicro (Sergey Vorobyev)
Please open Telegram to view this post
VIEW IN TELEGRAM
🇹🇼 Чипы для серверов ЦОД ИИ. Участники рынка. Тайвань

MediaTek планирует представить собственные серверные чипы ИИ с архитектурой ARM и техпроцессом 3нм TSMC

MediaTek намеревается попробовать силы на рынке чипов ИИ, предложив собственные серверные чипы ИИ на базе 3-нм узла TSMC MediaTek. Ранее сообщалось, что компания создает чип для сегмента ИИ-ПК в сотрудничестве с Nvidia, чтобы конкурировать с Qualcomm с его линейкой Snapdragon X Elite. Теперь MediaTek планирует работать и с профессиональным сегментом рынка ИИ, занявшись для этого разработкой собственных серверных чипов ИИ в сотрудничестве с TSMC и с ARM.

Taiwan Economic Daily сообщает, что в MediaTek готовятся к выпуску линейки серверных чипов ИИ, которые будут, весьма вероятно, построены на архитектуре ARM - не самый ожидаемый подход к рынку серверных чипов.

Как в MediaTek могут надеяться на успех в конкуренции с де-факто «стандартом» Nvidia на рынке ИИ?

Прежде всего, стоит предположить, что серверный ИИ-чип MediaTek не будет нацелен на высокопроизводительный сегмент. Он будет сосредоточен на среднем и низком сегментах. Спрос на продукцию в этом сегменте стремительно растет, причем в гонке участвует несколько компаний, включая стартапы. Далеко не всем требуются решения уровня Nvidia. MediaTek планирует привлечь внимание со стороны поставщиков облачных услуг (CSP), таких как Microsoft, Google и M*, благодаря архитектуре с низким энергопотреблением.

Как ожидается, чип MediaTek выйдет на стадию вывода на пленку к первой половине 2025 года, а поставки небольших объемов начнутся ко второму полугодию 2024 года. Массовое производство ожидается позднее, к 2026 году и это будет зависеть от рыночного спроса и интереса к сегменту в целом. У MediaTek есть шансы на успех на этом рынке, с учетом того, как развивается эта тайваньская компания.

@RUSmicro по материалам wccftech
Please open Telegram to view this post
VIEW IN TELEGRAM