RUSmicro
4.55K subscribers
1.33K photos
18 videos
26 files
4.75K links
Новости микроэлектроники, электроники и вычислительной техники. Поддержка @abloud https://t.me/abloudrealtime/6767
Комментарии и обсуждения публикаций доступны участникам группы: https://t.me/+MIyp50MnfZRlODgy
Download Telegram
🇳🇱 Фотолитографы. Техпроцессы. EUV Hyper-NA

Не успели производители микросхем унять дрожь от цен на продукцию ASML EUV High-NA, достигающих в топовых моделях $380 млн, как в ASML объявили о том, что готовят ряд более совершенных решений. Которые, без всяких сомнений, будут стоить где-нибудь от $0,5 млрд и выше.

Будут ли покупать такие машины?

Если не случится каких-то внезапных черных лебедей, например, "выстрелит" какая-то альтернативная технология, то конечно будут.

Такую уверенность мне дают заявленные ASML параметры. Судите сами - стандартное оборудование ASML EUV работает с источниками с длиной волны 13,5 нм и числовой апертурой 0,33. Такие машинки обходятся предприятиям отрасли, которым американцы разрешают их поставлять, буквально в копейки - по $180 млн за штуку и поставляются многими десятками в год.

Практически вдвое дороже решение ASML EUV High-NA с тем же источником, но с числовой апертурой 0,55. Такую машинку пока что развернули в двух экземплярах - одну в совместной с Imec лаборатории в Вельдховене, Нидерланды, другую - в Intel, на фабе D1X в Орегоне, США.
Цена этой машины заставила TSMC не спешить с ее покупками (по другим данным это связано с тем, что Intel выкупила себе эксклюзивные поставки этих аппаратов на несколько месяцев, чтобы получить преимущество в несколько месяцев перед TSMC).

И вот теперь ASML сообщает о возможной разработке машины с апертурой 0,75 что позволит печатать на ней узлы с еще более плотным их размещением.

К счастью для производителей, до того момента, когда им предложат выкладывать по полмиллиарда за каждый "печатный станок", утечет немало воды, все эти свершения планируются на 2035-2039 годы. До этого времени на планете много чего может случиться.

Не менее интересны две другие новости ASML.

🔹 Если сейчас решения ASML могут обрабатывать до 200 пластин в час (очень много!), то компания хочет нарастить скорость работы литографов до 400-500 (!!). Если получится, это будет означать заметное снижение себестоимости чипов.

🔹 На том экземпляре EUV High-NA литографа, что установлен в Вельдховене, специалисты ASML научились печатать линии с плотностью 8нм, что лучше апрельского рекорда в 10нм.

Здесь стоит, наверное, пояснить, что нанометры бывают технологические (в литографах) и маркетинговые, когда производители микросхем хвастаются своими чипами. Упрощенно - технологические - это минимальное расстояние между двумя параллельными линиями из металла, которые литограф способен нарисовать.
Маркетинговые нанометры имеют весьма косвенное отношение к реальным размерам узлов. Поэтому технологические 8нм - это действительно круто и EXE:5200 High-NA на это способен - не зря в Intel отвалили за эти сканеры сотни миллионов - постепенно смогут делать на этой машинке чипы 14A.

🔹 А еще в ASML говорят о планах перехода к модульному подходу в производстве линеек EUV-оборудования. Модульность - модная и удобная фича, так что идея, наверное, хорошая. Лишь бы такая кастомизация не вздула цену еще выше. Впрочем, ценам свойственно расти безостановочно в современной кривой модели экономики.

По материалам: 3dnews.ru

(Я вот подумал, что пора бы американцам задуматься о дублировании производства ASML на своей территории. Ну так, на всякий случай).
(2)
🇷🇺 Российская электроника. СВЧ

А тут красивое показывают: разработанное в ЛЭТИ на базе решений Планар:

🔹 Система для неразрушающего контроля параметров диэлектрических пластин

🔹 Антенны для систем беспроводной связи W-диапазона

🔹 Сверхмалошумящий оптоэлектронный СВЧ-генератор

Не то, чтобы совсем уж новое, но фотки симпатичные. В преддверии 6G актуально.
Please open Telegram to view this post
VIEW IN TELEGRAM
🇷🇺 Силовая электроника. Транзисторы

Микрон сообщает об успешной сертификации СТ-1 (московской торгово-промышленной палатой) линейки изделий для систем управления Trench MOSFET.

Это изделия силовой электроники, транзисторы, кристаллы для которых выпускают на пластинах 200 мм с шагом ячейки 1 мкм.

В линейку входят транзисторы 4N20, 3N20, MIK8205, предназначенные для использования в автомобильной электронике в схемах управления антиблокировочными системами и подушками безопасности, в схемах защиты литий-ионных батарей, построении высокоэффективных силовых коммутаторов, понижающих стабилизаторов с синхронным выпрямлением и прочих силовых цепей. На эти 3 изделия и получены сертификаты СТ-1.

Эти изделия Микрон производит еще с 2021 года (экспериментальные партии 4N20), в 2022 году на них поступали заказы из Юго-Восточной Азии, сохранилось ли это экспортное сотрудничество - не знаю.

У Микрона в линейке - всего 10 изделий силовой электроники, но остальные еще не прошли сертификацию.

Следующий этап для сертифицированных изделий - их включение в реестр Минпромторга РФ.
🇨🇳 Геополитика и микроэлектроника. Техпроцессы. Производство микросхем

Huawei и SMIC запатентовали технологию SAQP в теории позволяющую выпускать чипы 3нм

Об этом написали в CNews.ru.

Что это за технология?

SAPQ, self-aligned quadruple patterning - технология литографии самовыравнивающимся четырехкратным экспонированием. В ней нет новизны, с ней в своей время экспериментировали и TSMC, и Intel, а затем отказались, ссылаясь на дороговизну техпроцесса и недостаточно высокий выход годных.

А в чем тогда новость?

Что китайцы оформили на этот техпроцесс какой-то патент. Что ж... мало ли в Бразилии донов Педро... бумага все терпит.

Означает ли это, что китайцы уже могут выпускать чипы 3нм?

На 100% этого исключить нельзя, но пока что массового выпуска нет, да и возможен ли он не для того, чтобы пугать американских политиков, а с сохранением экономической целесообразности - большой вопрос?

На каком оборудовании можно реализовать выпуск чипов 3нм методом 4-х кратного паттернинга?

На фотолитографах ASML DUV. Таких SMIC закупила у ASML немало, например, модели ASML Twinscan NXT:2000i. Можно ли конкретно из 2000i "выжать" 3нм - не знаю. Но Huawei нанимала ряд экс-сотрудников ASML. Так что, экспертиза по части применения оборудования ASML, видимо - не проблема.

А еще ходят упорные слухи, что Huawei тайно сотрудничает с тайными китайскими производителями тайных китайских литографов. Которые ого-го. Но все же DUV, но могут 7нм, 5нм и в ближайшей перспективе 3нм.

В связи с этой идей называют SiCarrier, которая вроде бы выпускает отдельные компоненты для оборудования по производству микросхем. Компания Huawei в 2023 году заявляла, что любые предположения о том, что у нее есть углубленное партнерство с SiCarrier для совместной работы над чиповыми технологиями, “не соответствуют фактам”. Но кто поверит?

Можно вспомнить еще и Zetop Technologies Co., которая тоже вроде бы занималась "оптическими машинами", чтобы это не означало. По слухам, акционеры этой компании - SiCarrier и Чанчуньский институт оптики, точной механики и физики.

А в целом в Китае десятки компаний и институтов занимаются производственным оборудованием микроэлектроники. Непосредственно в литографах далеко продвинулась Shanghai Micro Electronics Equipment Group (SMEE), у нее есть машина 90нм DUV, еще в 2022 году шли разработки машин 45нм и 28нм, сейчас они могли уже заметно продвинуться. Но есть и множество других, например: Shanghai Wanye Enterprise Co. с устройствами для ионной имплантации, Tuojing Technology Co. - оборудование для химического осаждения из газовой фазы с плазменным усилением (PECVD), оборудование для атомно-слоевого осаждения (ALD) и оборудование для химического осаждения из паровой фазы под атмосферным давлением (SACVD).

Где и кто выпускает чипы 3нм?

Такие чипы для Apple и Qualcomm выпускает тайваньская TSMC. Массово. И они нормально работают в топовых смартфонах.
В начале 2022 года о начале производства 3нм GAA чипов заявила Samsung. Но очередь на размещение заказов на эти чипы не спешит выстраиваться - у них обнаружилась родовая болезнь в виде более высокого нагрева и более высокого потребления электричества, чем в изделиях TSMC. Тем не менее, как ожидается, Samsung сама выпустит смартфоны на своих чипах (предположительно Exynos 2500, предположительно 3нм, предположительно это будет Galaxy S25).

Подводя итоги?

Суета вокруг гонки за нанометрами не прекращается. Но если придерживаться фактов, то пока что китайских смартфонов с чипами ниже 7нм мы не видели.

А Apple тем временем (по слухам) договаривается с TSMC о выпуске своих чипов по техпроцессу 2нм.

А в Intel настраивают свою сверхдорогую и сверхновую EUV-машинку, которая позволит уйти ниже 2нм.

Китай пока что остается в роли догоняющего и до появления у китайских предприятий собственного EUV-сканера, ничего кроме этой роли, на его долю не остается.

Что не отменяет возможности, что рано или поздно такой сканер в Китае соберут. И не один. Но это случится не мгновенно.
🇯🇵 Производственное оборудование. Япония

В Японии отмечают рост поставок производственного оборудования, необходимого для выпуска микросхем. И связывают это с ростом спроса на чипы для ИИ, как в публикации TrendForce, ссылающейся на данные японской ассоциации производителей оборудования для производства полупроводников (SEAJ - The Semiconductor Equipment Association of Japan).

Апрель дал рекордный результат по продажам такого оборудования за месяц - за период в 17 месяцев. Да и в целом начало года, с января по апрель включительно - тоже рекордное. Усредненный за 3 месяца объем продаж (включая экспорт) в апреле - JPY 389.106 млрд, +15,7% гг.

Доля Японии на мировом рынке производственного оборудования для полупроводниковой отрасли внушительна - 30%, вторая после доли США.
Но речь идет о самом разном оборудовании, поскольку в области фотолитографии есть гигантский разрыв между возможностями японских компаний Canon, Nikon, TEL (Tokyo Electron) и JEOL Ltd. с решениями ASML, - в многие годы и в миллиарды долларов на разработку. В Японии, например, нет технологии EUV.

Отчего японцы стали больше зарабатывать?

Не в последнюю очередь - из-за американских санкций. Власти США ограничили возможности американских компаний на поставку наиболее современных видов производственного оборудования, настойчиво уговорили правительство Нидерландов ограничить поставки решений ASML. Японцы вроде как тоже присоединились к санкциям, но в силу отсталости своих производственных решений в области фотолитографии и высокого интереса в Китае к зрелым технологиям, продажи этих решений в Китай идут в немалых объемах.

В целом объем мирового рынка оборудования для производства микросхем (Wafer Fab Equipment; WFE) в 2024 году, по прогнозам, вырастет на 5% в годовом исчислении примерно до $100 миллиардов, что соответствует текущему историческому максимуму, зафиксированному в 2022 году.

Прогноз на 2025 год - еще более позитивный, ожидается двузначный рост рынка, то есть выше, чем на 10%.
🇮🇳 Участники глобального рынка производства полупроводников. Индия

Индия рвется на рынок производства микроэлектроники. Но старт - самое сложное в этой истории. На текущий момент Индия выглядит с точки зрения потенциальных инвесторов не слишком привлекательно, несмотря на потенциально объемный рынок.

Сегодня по этой теме высказался Bloomberg, где приводится мнение Аджита Маноча, президента и главного исполнительного директора торговой ассоциации SEMI: "Им необходимо действительно усилить акцент на экосистеме, потому что без экосистемы рост будет ограничен. Они должны поощрять малые и средние компании приходить и открывать магазины в Индии".

Правительство Индии мечтает о собственном производстве микросхем. Для этого учрежден фонд господдержки в $10 млрд, чтобы привлечь глобальных производителей микросхем. И если Моди выиграет на выборах третий срок, то эта программа финансирования, весьма вероятно, будет расширена.

При этом ни одна крупная полупроводниковая компания все еще не взяла на себя обязательств по значительным инвестициям в Индию, отчасти из проблем с инфраструктурой, включая нестабильное энергоснабжение.

Из значимых отмечу проект стоимостью $11 млрд, созданный индийской Tata Group, в партнерстве с тайваньской Powerchip Semiconductor Manufacturing Corp. по производству микросхем по зрелым техпроцессам. И планы американской Micron, которая собирается вложить $2,75 млрд в предприятие в Индии по корпусированию и тестированию микрочипов.

Перспективы производства микроэлектроники в Индии туманны. Ясно одно - быстрым и легким движение этой страны на новый для нее рынок не будет.
🇷🇺 Российские микросхемы. Корпусирование

В реестре Минпромторга появилась микросхема энергонезависимой памяти

 
Ситуация с отечественными микросхемами памяти в России, мягко говоря, сложная. Тем интереснее информация о включении в реестр российской продукции Минпромторга микросхемы энергонезависимой памяти GS Group GSN2517Y NOR FLASH (по ссылке больше технических параметров) в корпусе SOP8

Краткие параметры:

▪️Объем памяти 16M-бит/2M-байт;
▪️256 байт на программируемую страницу.

Российский разработчик заявляет pin-to-pin совместимость с хорошо знакомыми российскому рынка зарубежными аналогами.

Согласно требованиям ПП №719, применение отечественных микросхем памяти в автоэлектронике или счетчиках электроэнергии обещает разработчику 12 баллов соответствия требованиям по применению российских комплектующих. 

Сфера применения

Микросхемы NOR FLASH востребованы в различных областях — от телекома до автоэлектроники, сложных датчиков и промышленных контроллеров.

Заявляемые особенности

▫️ последовательный интерфейс SPI
▫️ позволяют осуществлять не менее 100 тысяч циклов записи и стирания на сектор
▫️ обеспечивают 20-летнее хранение данных и программируемую защиту от записи

В микросхемах GSN2517Y поддерживается побайтный доступ к памяти, что обуславливает высокую скорость чтения данных (на уровне 50 МБ/с) и базовую надежность. Каждое устройство имеет 64-битный уникальный идентификатор JEDEC. Рабочий диапазон температур от -40 до +85 °C.

Как видим, не микроконтроллером единым процессы корпусирования в GS Group набирают обороты.
♨️ Мнения. Конспекты

Сегодня внимательно слушал пленарку телеком-конференции ComNews. Было интересно, сделал конспекты некоторых выступлений, их можно найти в моем канале @abloud_events

Телеком темы неизбежно пришли к тематике электронных компонентов и проблем с ними. Особенно по части ПЛИС/FPGA, которые могли бы серьезно помочь в решении ряда сложных задач по части создания отечественных решений сотовой связи и не только, конечно.

Один из конспектов порекомендую особенно - Виталий Шуб, ведущий научный сотрудник Проектного центра прикладной фотоники и квантовых технологий Сколтеха

Отсюда https://t.me/abloud_events/2424 и далее еще два поста. К тексту прошу не придираться, это не интервью, а конспект устного выступления. Важны затронутые темы и мысли по их поводу, прежде всего.
Forwarded from Коммерсантъ
💻 Эксперимент по маркировке радиоэлектронной продукции в России продлен и расширен на ноутбуки и смартфоны, сообщили в кабмине.

✔️ Присоединяйтесь к Telegram-каналу «Ъ»
IPO "Элемента"

Вчера Группа "Элемент" (в ее состав входит ряд активов по разработке и производству микроэлектроники, в их числе "Микрон" и доля в "Ангстреме) провела IPO на СПБ Бирже.

↔️ Общий размер IPO составил 15 млрд рублей, включая стабилизационный пакет, цена IPO составила 223,6 рублей за лот, включающий 1 тыс. обыкновенных акций. По оценкам компании, ее капитализация на момент начала торгов составила ₽105 млрд с учетом средств, привлеченных в рамках первичного размещения.

↔️ Судя по всему скоро будем читать новости о новых проектах компании. Так, например, зимой президент "Элемента" Илья Иванцов в интервью мне рассказывал о перспективных направлениях развития. Это фотоника и оптоэлектроника. Помимо этого, Иванцов говорил и о том, каким может быть регулирование отрасли: в нем нужен долгосрочный спрос и "сквозная провязка" от российских микроконтроллеров до российских (условно) самолетов.

↔️ О части новых проектов "Элемента" уже известно. Это, например, компания "Нанотроника" - она займется разработкой и выпуском производственного оборудования для создания радио-, микро- СВЧ- и оптоэлектроники. На старте задача стоит оснастить собственные предприятия, а затем работать на внешних рынках.

↔️ Еще один проект предполагает запуск на мощностях "Микрона" производства силовой электроники, диодов, транзисторов. Стоимость реализации проекта оценивают в 20 млрд руб.
Please open Telegram to view this post
VIEW IN TELEGRAM
🇮🇹 Производство микросхем. SiC. Силовая микроэлектроника. Господдержка

Reuters: Еврокомиссия одобрила итальянскую госпомощь производителю микросхем STMicroelectronics, который планирует строительство фаба по производству специализированных микрочипов, используемых в электромобилях (силовых микросхем из карбида кремния) с планами инвестиций 5 млрд евро ($5,4 млрд). Помощь будет включать прямой грант в размере около 2 млрд евро. Фаб планируется построить в Катании, Сицилия.

Госстимулирование планируется в рамках принятого Закона о европейских чипах с пулом финансирования E43 млрд.
В Европе надеются поднять свою долю рынка с текущей оценки в 10% до 20%. Сделать это будет непросто на фоне постоянного роста господдержки и частных инвестиций в микроэлектронику в США и Китае, Тайване, Японии и Южной Корее, в Индии и в Малайзии, а также в некоторых других странах.
🇷🇺 Российская вычислительная техника. Производство стационарных ПК. Корпуса

В России до сих пор не было производства корпусов "полного цикла". Арзамасская компания Рикор к концу 2024 года планирует запустить производство корпусов для ПК.

Цех строится на базе производственной площадки Рикор в Арзамасе, Нижегородская область, и закупаемого по импорту производственного оборудования. Инвестиции, по данным компании, составят порядка 400 млн рублей с планами окупаемости до 4 лет. Пластик для корпусов планируется закупать за рубежом, металл - в РФ. Использоваться корпуса будет как для собственной продукции, так и выпускаться под заказ.

Максимальная мощность производства может достигать 1 млн штук в год. В компании оценивают потребности российского рынка в корпусах для ПК в 2.9 млн штук в год.

На текущий момент объем продаж ПК российского производства заметно меньше - порядка 1 млн штук в год. Оценка объема продаж российских и зарубежных стационарных ПК по итогам 1q2024 -1,3 млн, доля российских - порядка 20% или 260 тыс. за квартал. Оценка объема продаж стационарных ПК в РФ в 2023 году - более 6 млн. Российские компании на сегодня заказывают корпуса в основном в Китае.

Рынок корпусов - высококонкурентный, работать на нем успешно могут только высокоавтоматизированные производства (если говорить про массовый сегмент), поэтому в Рикор устанавливают роботизированное оборудование, а людей планируется всего 10 человек на цех.

Шансы на успех проекту добавляет балльная система - за использование российского корпуса предусмотрено 20 баллов, так что заметный бустер проекту Рикор государство обеспечит. В 2024 году производителям ПК, претендующим на то, чтобы их продукт получал статус "произведено в России", требуется набрать 140 баллов, так что 20 лишними не будут. Минусом будет то, что российские корпуса скорее всего окажутся более дорогими, чем китайские - неизбежная плата за небольшой объем российского рынка.

Источник: Ведомости
Forwarded from Дмитрий Кузнецов ¤
Искал стоимость станков для литографии на 350 нм. Оказывается все ключевые производители АСМЛ, Никон и Кэнон имеют у себя литографы этого класса. Новейшие из них модели разработаны в 2022-2023 годах и их продажи только начались.

Для примера станок АСМЛ, продажи стартовали в 2023 году, развивает модельную линейку станков 2004 года.

Всего АСМЛ продала в прошлом году 55 станков этого типа (i-line). В 2022 году продали 45 штук. Этот новый продали пока только один.

https://www.asml.com/en/products/duv-lithography-systems/twinscan-xt-400m
#видео

GS Group: ЗАВОД ЧИПОВ НА ЧАСТНЫЕ ДЕНЬГИ

00:00 Исполнительный директор GS Group Владимир Дмитриев
03:18 Сколько сейчас стоит построить завод?
03:59 Как привлекают специалистов в Калиниградскую область?
05:24 ЖК для айтишников
06:03 Калининград захватит НАТО?
07:30 Несколько производств на территории кластера
09:22 Предприятие, которое может корпусировать чипы
10:04 Проблемы микроэлектроники в РФ
11:36 Реестр и недобросовестные компании
13:13 Бум инвестирования в российские производства микроэлектроники
14:36 Новая услуга на рынке – ODM
17:13 Проблема масштабирования производства на территории РФ
18:10 Планы на будущее
19:24 Разработка собственных микросхем
20:00 Нужно ли полностью отечественное устройство
20:57 Незадокументированные возможности в микросхемах
23:00 Импортозамещение на производстве
25:40 Гусев, Калининградская обл. Коммерческий директор GS Group Максим Остроумов
25:47 «Технополис GS» Жилой комплекс для сотрудников
29:28 Почему предприятие появилось в Калининграде?
29:59 Собственный дизайн центр
32:01 Почему решили запустить ODM производство?
33:38 Можно ли конкурировать с Китаем?
34:37 Ген.директор GS Nanotech Артем Евгеньевич Казаков
34:48 Производственный корпус GS Nanotech
35:03 1 процесс. pre-assembling – резка и утонение пластины
36:09 2 процесс. Atache print – установка кристаллов на подложку
36:49 "После каждого этапа происходит контроль качества"
37:08 3 процесс. Wire bond – разварка
38:15 4 процесс. Molding (герметизация) – заливка компаундом
39:14 5 процесс. Ball placing – установка шариков припоя
40:52 6 процесс. Singulation – разделение подложек
41:04 7 процесс. Test – тестирование
42:07 8-9 процесс. MSP – маркировка и упаковка
42:39 Ещё типы корпусирования
43:39 Производство светодиодов и эксперимент в маркировке
44:47 "Наша специализация – более сложные микросхемы"
47:23 Где грань определения российской микросхемы
49:36 Балльная система
51:06 Недокументированные возможности. Безопасность
51:51 Оборудование по корпусированию
52:40 Приглашаем компании к тестированию!
53:42 Обучение специалистов, сотрудничество с вузами
55:00 Приходите на студенческую практику в GS!
56:13 Цифровые телевизионные системы. Генеральный директор НПО «ЦТС» Дмитрий Валерьевич Фомичев
57:39 Экскурсия по цеху поверхностного монтажа
01:01:55 Какой минимальный объем плат
01:02:59 Сотрудничество со Сбером и Триколор, медиасервер
01:04:15 Работа с заказчиками
01:04:50 Можно ли конкурировать с Китаем
01:05:35 Экскурсия по цеху дип монтажа
01:09:32 "Мы предоставляем клиентам полный цикл услуг"
01:11:04 Новый ЧПУ станок на производстве для паечных рамок
01:12:12 ИИ для управления оборудованием
01:14:09 Обучение кадров
01:17:13 Первая Картонажная Фабрика. Генеральный директор ООО «ПКФ» Марат Раильевич Кавеев
01:20:55 "На сегодня в Калинградской области мы самое крупное и технологичное предприятие"
01:22:05 Откуда получаем сырьё
01:23:19 Мы смело можем себя назвать экологически чистым предприятием (цитата)
01:23:26 Как санкции сказались на производстве?
01:24:23 Планы на экспорт
01:24:58 Генеральный директор ООО «Пранкор» Сергей Иванович Захаров
01:25:35 Цех штамповки
01:25:52 История предприятия
01:26:18 "У нас всё российское"
01:27:27 Ориентируемся на мировую практику
01:28:11 Реинженеринг
01:29:11 Сварочный робот и литейная машина
01:29:42 Участок по ремонту и изготовление остнастки
01:31:30 Заключение

VK

ДЗЕН

RUTUBE

YOUTUBE

@imaxairu Подписаться
🇳🇱 🇧🇪 Фотолитография. EUV High-NA

ASML EUV High-NA - европейцы обеспечат возможность доступа к инструменту разным участникам отрасли

Сегодня Reuters со ссылкой на информацию ASML сообщает об открытии лаборатории в Вельдховене, совместной со специалистами бельгийской Imec, европейскими разработчиками передовых технологий в области микроэлектроники.

Лаборатория оснащена "по последнему слову техники", и это не пустые слова. Основной инструмент - ТЕСТОВЫЙ фотолитограф ASML High NA EUV, один из двух, которые существуют в мире по официальным данным (второй - у Intel в США). Машинка стоит $380 млн, так что купить ее по карману не каждому, да и по слухам Intel выкупил всю первую партию. Производство коммерчески доступных машин ASML EUV High NA по планам начнется в 2025-2026 году. В США планируют в 2025 году задействовать свой экземпляр тестового фотолитографа для техпроцесса 14А.

Лаборатория в Вельдховене обеспечит возможность поработать с этим инструментам ведущим производителям микросхем и другим компаниям - поставщикам оборудования и материалов.

АSML получила заказы уже более, чем на 12 фотолитографов ASML EUV High NA. Машинами ASML EUV предыдущего поколения в мире пользуются такие компании, как TSMC, Samsung, Intel, SK Hynix. Их запрещено поставлять в Китай и в Россию.



Очень разумная идея доступа участников рынка к новейшему фотолитографу. Для кого-то упростится процесс принятия решения о закупке, кто-то сможет адаптировать свои EDA к новинке, кто-то сможет сделать сэмплы своей передовой разработки.